Chapter 2 Introduction to Logic Circuits

Size: px
Start display at page:

Download "Chapter 2 Introduction to Logic Circuits"

Transcription

1 Chapter 2 Introduction to Logic Circuits Logic unctions and circuits Boolean algebra Snthesis o digital circuits Introduction to CAD tools Introduction to VHDL Logic unctions and Circuits and 2 are binar variables, that ma take on onl one o two Possible values, i.e., or Figure 2.6. A truth table or the AND and OR operations. Chapter 2-2

2 n n (a) AND gates n n (b) OR gates (c) NOT gate Figure 2.8. The basic gates. Chapter A B (, ) 2 2 A B (a) Network that implements = + 2 (b) Truth table 2 A B (c) Timing diagram Time 2 g (d) Network that implements g = + 2 Figure 2.. An eample o logic networks. Chapter 2-4

3 Boolean Algebra Aioms o Boolean Algebra A) = A ) + = A2) = A2 ) + = A3) = = A3 ) + = + = A4) i =, then = A4 ) i =, then = Chapter 2-5 Boolean Algebra Single variable theorems T) = T ) + = T2) = T2 ) + = T3) = T3 ) + = T4) = T4 ) + = T5) = Chapter 2-6

4 Boolean Algebra Two and three variable theorems T6) = T6 ) + = + T7) ( ) = ( ) T7 ) + ( + ) = ( + ) + T8) ( + ) = + T8 ) + = ( + ) ( + ) T9) + = T9 ) ( + ) = T) + = T ) ( + ) ( + ) = T) ( ) = + T ) ( + ) = T2) + = + T2 ) ( + ) = T3) + + = + T3 ) ( + ) ( + ) ( + ) = ( + ) ( + ) Precedence rule: in the absence o parentheses, operations in logic epressions must be perormed in the order: NOT, AND, and then OR Chapter 2-7 Boolean Algebra Principle o dualit: given a logic epression its dual is obtained b replacing all + operators with operators, and vice versa, and b replacing all s with s, and vice versa. The dual o an true statement (aiom or theorem) in Boolean algebra is also true. T6 & T6 are called Commutative propert T7 & T7 are called Associative propert T8 & T8 are called Distributive propert T9 & T9 are called Absorption propert T & T are called Combining propert T & T are called DeMorgan s theorem T3 & T3 are called Consensus theorem Chapter 2-8

5 Boolean Algebra Eample: Appl theorems o Boolean Algebra to prove that the let and right hand sides o the ollowing logic equation are identical = Chapter 2-9 Boolean Algebra The Venn Diagram Graphical illustration o various operations and relations in the algebra o sets A set s is a collection o elements that are said to be members o s In Venn diagram the elements o a set are represented b the area enclosed b a square, circle or ellipse In Boolean algebra there are onl two elements in the universe, i.e. {,}. Then the area within a contour corresponding to a set s denotes that s =, while the area outside the contour denotes s = In a Venn diagram we shade the area where s = Chapter 2-

6 Boolean Algebra (a) Constant (b) Constant (a) (d) (c) Variable (d) (b) + (e) (e) (g) () (h) + + Figure 2.2. The Venn diagram representation. (c) ( + ) () + Figure 2.3. Veriication o the distributive propert Chapter 2- ( + ) = Figure 2.4. Veriication o + + = + Chapter 2-2

7 Snthesis o digital circuits Snthesis is the process o generating a circuit that realies a unctional behavior o a logic sstem rom a given description (stated in orm o verbal statements, truth table, K-map, state diagram, etc.) Eample: Snthesie a logic unction that realies the ollowing truth table. Use AND, OR, and NOT gates Figure 2.5. A unction to be snthesied. Chapter 2-3 Snthesis o digital circuits 2 (a) Canonical sum-o-products 2 (b) Minimal-cost realiation Figure 2.6. Two implementations o a unction in Figure 2.5. Chapter 2-4

8 Snthesis o digital circuits Terminologies: Literal: a variable or the complement o a variable Product term: a single literal or logical product (AND) o two or more literals n-variable minterm: a product term with n literals. It assumes a value o or eactl one row o a unction s truth table (i.e. input combination) Sum-o-products (SOP): logical sum (OR) o product (AND) terms Canonical SOP: An SOP where each product term is a minterm. Sum term: a single literal or a logical sum o two or more literals. n-variable materm: a sum term with n literals. It assumes a value o or eactl one row o a unction s truth table (i.e. input combination) Product-o-sums (POS): is logical product o sum terms Canonical POS: A POS where each sum term is a materm Chapter 2-5 Snthesis o digital circuits Figure 2.7 Three-variable minterms and materms. Chapter 2-6

9 Snthesis o digital circuits Eample: For the three variable unction given b the ollowing truth table, determine the minterms, materms, canonical SOP, canonical POS, minterm list or on-set, materm list or o-set, minimal SOP and minimal POS b algebraic manipulations. Figure 2.8. A three-variable unction. Chapter 2-7 Snthesis o digital circuits 2 3 (a) A minimal sum-o-products realiation 3 2 (b) A minimal product-o-sums realiation Figure 2.9. Two realiations o the unction in Figure 2.8. Chapter 2-8

10 Snthesis o digital circuits NAND and NOR gates and their DeMorgan equivalent representations n n (a) NAND gates n n (b) NOR gates Chapter 2-9 Snthesis o digital circuits (a) 2 = (b) + 2 = 2 Figure 2.2. DeMorgan s equivalents o NAND and NOR gates. Chapter 2-2

11 Snthesis o digital circuits Converting a AND-OR realiation o an SOP to a NAND-NAND realiation Converting a OR-AND realiation o a POS to a NOR-NOR realiation Chapter 2-2 Snthesis o digital circuits Eample: Snthesie a logic circuit rom a verbal description o a problem or a three-wa light control (section 2.8., pg. 52) 2 3 (a) Sum-o-products realiation 3 2 Eercise: Convert the SOP and POS circuit realiations to NAND-NAND and NOR-NOR circuits, respectivel. (b) Product-o-sums realiation Chapter 2-22

12 Introduction to CAD tools Computer Aided Design (CAD) tools automate the processes o: Design Snthesis Optimiation Simulation: Functional Timing Phsical implementation o logic circuits on target devices Quartus II rom Altera Corporation is such sotware used in this course. Chapter 2-23 Introduction to CAD tools Design entr: description o what the desired circuit is supposed to do and the ormation o its general structure. This step o a design requires design eperience & intuition so it is done b a designer. Schematic Capture graphical entr Hardware Description Language (eg. VHDL, Verilog, ABEL) Computer program describing how a hardware should behave VHDL & Verilog are industr standards and thus portable to dierent target hardware and CAD tools Designer can ocus on the unctionalit o the desired circuit without being overl concerned about the implementation technolog Both Schematic & HDL design entr methods allow modular and hierarchical designs to manage sstem compleit Chapter 2-24

13 Introduction to CAD tools Snthesis process o generating a logic circuit rom an initial speciication given in schematic diagram or HDL. It involves compiling or translating the design entr (eg. VHDL) into a set o logic epressions that describe the logic unctions Oten the snthesis process is ollowed b optimiation or speciied goals: HW cost or time dela Functional Simulation used to veri that the design will unction as epected Assumes that the logic equations generated during snthesis will be implemented with perect gates with no propagation delas Test sequences are applied or which the simulator generates outputs Chapter 2-25 Introduction to CAD tools Phsical Design the tool determines eactl how to implement the circuit on a given chip Maps a circuit speciied in logic epressions into a realiation that makes use o the resources available on the target chip Determines the placement o speciic logic elements & their interconnection Timing Simulation a simulation that takes into account the actual delas o signals as the are processed b the logic elements and propagate through the wires Helps determine i the generated circuit satisies the timing requirements o the speciication Chip Coniguration or programming this step involves the implementation o the circuit on an actual target chip Chapter 2-26

14 Design conception DESIGN ENTRY Schematic capture VHDL Snthesis Functional simulation No Design correct? Yes Phsical design Timing simulation No Timing requirements met? Chip coniguration Figure A tpical CAD sstem. Chapter 2-27 Introduction to VHDL VHDL = Ver High Speed Integrated Circuit (VSHIC) Hardware Description Language, an IEEE standard language Original standard was adopted in 987 and called IEEE 76. Revised standard adopted in 993 and called IEEE 64. It was subsequentl updated in 2 and 22. Initiall intended as a documentation language or describing the structure o comple circuits, and or modeling the behavior o digital circuits or simulation. It has now become a popular tool or design entr in CAD sstems, which snthesie the VHDL code into hardware implementation. VHDL is a sophisticated language so onl a subset o eatures or use in snthesis will be covered in this course. The required eatures will be introduced when needed. Chapter 2-28

15 Introduction to VHDL Digital signals in VHDL are represented b a data object o tpe BIT. BIT objects can have onl one o two possible values: or. A VHDL construct called entit is used to declare the input and output interaces o a circuit or module. The entit must be assigned a name. The input and output signals or an entit are called its ports, and the are identiied b the keword PORT. Each port has an associated mode that speciies whether it is input (IN) to the entit or output (OUT) rom the entit. Each port is a signal hence has an associated tpe. Chapter 2-29 Introduction to VHDL 2 3 Figure 2.3. A simple logic unction. ENTITY eample IS PORT (, 2, 3 : IN BIT ; : OUT BIT ) ; END eample ; Figure 2.3. VHDL entit declaration or the circuit in Figure 2.3. Chapter 2-3

16 Introduction to VHDL An entit speciies the input and output signals or a circuit, but no inormation about its internal unctions. The circuit s unctionalit must be speciied with a VHDL construct called architecture. An architecture must be given a name and attached to a corresponding entit. VHDL provides built-in Boolean operators (AND, OR, NOT, NAND, NOR, XOR, and XNOR) that could be used or describing the logical unctions o an architecture VHDL signal assignment operator <= could be used to assign the result o a logic epression on the right-hand side o the operator to an output signal on the let. Chapter 2-3 Introduction to VHDL Figure Complete VHDL code or the circuit in Figure 2.3. As a simple analog, an entit is equivalent to a smbol in a schematic Diagram and the architecture speciies the logic circuitr Chapter 2-32

17 Introduction to VHDL Chapter 2-33

Formal Foundation of Digital Design

Formal Foundation of Digital Design Chapter 2: Switching Algebra and Logic Circuits 78 22 Digital Logic Design @ Department of Computer Engineering KKU. Formal Foundation of Digital Design In 854 George Boole published An investigation into

More information

EXPERIMENT 5 Basic Digital Logic Circuits

EXPERIMENT 5 Basic Digital Logic Circuits ELEC 2010 Laborator Manual Eperiment 5 PRELAB Page 1 of 8 EXPERIMENT 5 Basic Digital Logic Circuits Introduction The eperiments in this laborator eercise will provide an introduction to digital electronic

More information

Chapter 3 Describing Logic Circuits Dr. Xu

Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Describing Logic Circuits Dr. Xu Chapter 3 Objectives Selected areas covered in this chapter: Operation of truth tables for AND, NAND, OR, and NOR gates, and the NOT (INVERTER) circuit. Boolean

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT

CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER 3 BASIC & COMBINATIONAL LOGIC CIRCUIT CHAPTER CONTENTS 3.1 Introduction to Basic Gates 3.2 Analysing A Combinational Logic Circuit 3.3 Design A Combinational Logic Circuit From Boolean Expression

More information

and not if x >= 0 and x < 10: print("x is a single digit") &

and not if x >= 0 and x < 10: print(x is a single digit) & LOGIC OPERATIONS Logic operations We have alread seen kewords or, and, not used in Pthon Had a specific purpose Boolean epressions. For eample: if >= and < : print(" is a single digit") Pthon has a set

More information

Chapter 4 Combinational Logic Circuits

Chapter 4 Combinational Logic Circuits Chapter 4 Combinational Logic Circuits Chapter 4 Objectives Selected areas covered in this chapter: Converting logic expressions to sum-of-products expressions. Boolean algebra and the Karnaugh map as

More information

Subject: Analog and Digital Electronics Code:15CS32

Subject: Analog and Digital Electronics Code:15CS32 Subject: Analog and Digital Electronics Code:15CS32 Syllabus: The Basic Gates : Review of Basic Logic gates, Positive and Negative Logic, Introduction to HDL. Combinational Logic Circuits:Sum-of-Products

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE38 Digital Logic Introduction Dr. D. J. Jackson Lecture - Digital hardware Logic circuits are used to build computer hardware as well as other products (digital hardware) Late 96 s and early 97 s saw

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Odd-Prime Number Detector The table of minterms is represented. Table 13.1

Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Odd-Prime Number Detector The table of minterms is represented. Table 13.1 Minterm A B C D E 1 0 0 0 0 1 3 0 0 0 1 1 5 0 0 1 0 1 7 0 0 1 1 1 11 0 1 0 1 1 13 0 1 1 0 1 17 1 0 0 0 1 19 1 0 0 1 1 23 1 0 1

More information

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005

Topics. FPGA Design EECE 277. Combinational Logic Blocks. From Last Time. Multiplication. Dr. William H. Robinson February 25, 2005 FPGA Design EECE 277 Combinational Logic Blocks Dr. William H. Robinson Februar5, 25 http://eecs.vanderbilt.edu/courses/eece277/ Topics Computer, compute to the last digit the value o pi. Mr. Spock (Star

More information

Power Optimization in Stratix IV FPGAs

Power Optimization in Stratix IV FPGAs Power Optimization in Stratix IV FPGAs May 2008, ver.1.0 Application Note 514 Introduction The Stratix IV amily o devices rom Altera is based on 0.9 V, 40 nm Process technology. Stratix IV FPGAs deliver

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 1 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful.

Name: Class: Date: 1. As more electronic systems have been designed using digital technology, devices have become smaller and less powerful. Name: Class: Date: DE Midterm Review 2 True/False Indicate whether the statement is true or false. 1. As more electronic systems have been designed using digital technology, devices have become smaller

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Logic Design I (17.341) Fall Lecture Outline

Logic Design I (17.341) Fall Lecture Outline Logic Design I (17.341) Fall 2011 Lecture Outline Class # 07 October 31, 2011 / November 07, 2011 Dohn Bowden 1 Today s Lecture Administrative Main Logic Topic Homework 2 Course Admin 3 Administrative

More information

Course Overview. Course Overview

Course Overview. Course Overview Course Overview Where does this course fit into the Electrical Engineering curriculum? Page 5 Course Overview Where does this course fit into the Computer Engineering curriculum? Page 6 3 Course Content

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates

Digital Systems Principles and Applications TWELFTH EDITION. 3-3 OR Operation With OR Gates. 3-4 AND Operations with AND gates Digital Systems Principles and Applications TWELFTH EDITION CHAPTER 3 Describing Logic Circuits Part -2 J. Bernardini 3-3 OR Operation With OR Gates An OR gate is a circuit with two or more inputs, whose

More information

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows:

Analysis procedure. To obtain the output Boolean functions from a logic diagram, proceed as follows: Combinational Logic Logic circuits for digital systems may be combinational or sequential. combinational circuit consists of input variables, logic gates, and output variables. 1 nalysis procedure To obtain

More information

Digital. Design. R. Ananda Natarajan B C D

Digital. Design. R. Ananda Natarajan B C D Digital E A B C D 0 1 2 3 4 5 6 Design 7 8 9 10 11 12 13 14 15 Y R. Ananda Natarajan Digital Design Digital Design R. ANANDA NATARAJAN Professor Department of Electronics and Instrumentation Engineering

More information

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 6 (31 Jan 2008) Seung-Jong Park (Jay)   CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 6 (31 Jan 2008) 1 Announcement 2 1 Reminder A logic circuit is composed of: Inputs Outputs Functional specification

More information

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02)

Asst. Prof. Thavatchai Tayjasanant, PhD. Power System Research Lab 12 th Floor, Building 4 Tel: (02) 2145230 Aircraft Electricity and Electronics Asst. Prof. Thavatchai Tayjasanant, PhD Email: taytaycu@gmail.com aycu@g a co Power System Research Lab 12 th Floor, Building 4 Tel: (02) 218-6527 1 Chapter

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 4: Combinational Logic Circuits. Name: Date:

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 4: Combinational Logic Circuits. Name: Date: EXPERIMENT # 4: Combinational Logic Circuits Name: Date: Equipment/Parts Needed: 5V DC Power Supply Digital Trainer (Logic Probe) Breadboard DIP Switch 7400 NAND gate 7402 NOR gate 7404 Inverter 7408 AND

More information

Lecture 15 Analysis of Combinational Circuits

Lecture 15 Analysis of Combinational Circuits Lecture 15 Analysis of Combinational Circuits Designing Combinational Logic Circuits A logic circuit having 3 inputs, A, B, C will have its output HIGH only when a majority of the inputs are HIGH. Step

More information

Combinational Logic Design CH002

Combinational Logic Design CH002 Combinational Logic Design CH002 Figure 2.1 Circuit as a black box with inputs, outputs, and specifications Figure 2.2 Elements and nodes Figure 2.3 Combinational logic circuit Figure 2.4 Two OR implementations

More information

Function Table of an Odd-Parity Generator Circuit

Function Table of an Odd-Parity Generator Circuit Implementation of an Odd-Parity Generator Circuit The first step in implementing any circuit is to represent its operation in terms of a Truth or Function table. The function table for an 8-bit data as

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 7 & 8 NAND and XOR Implementations Combinational Design Procedure NAND-NAND & NOR-NOR Networks DeMorgan

More information

6.1 In this section, you will design (but NOT build) a circuit with 4 inputs,

6.1 In this section, you will design (but NOT build) a circuit with 4 inputs, EE 2449 Experiment 6 Jack Levine and Nancy Warter-Perez //208 CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 6 COMBINATIONAL

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

Lecture 2: Digital Logic Basis

Lecture 2: Digital Logic Basis Lecture 2: Digital Logic Basis Xufeng Kou School of Information Science and Technology ShanghaiTech University 1 Outline Truth Table Basic Logic Operation and Gates Logic Circuits NOR Gates and NAND Gates

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION 2011 (October-November) Q-21 Draw function table of a half adder circuit? (2) Answer: - Page

More information

Applications of Karnaugh Map and Logic Gates in Minecraft Redstone Circuits

Applications of Karnaugh Map and Logic Gates in Minecraft Redstone Circuits Applications of Karnaugh Map and Logic Gates in Minecraft Redstone Circuits Vincent Hendranto Halim / 35589 Program Studi Teknik Informatika Sekolah Teknik Elektro dan Informatika Institut Teknologi Bandung,

More information

Multiple input gates. The AND gate

Multiple input gates. The AND gate Multiple input gates Inverters and buffers exhaust the possibilities for single-input gate circuits. What more can be done with a single logic signal but to buffer it or invert it? To explore more logic

More information

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices

Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Combinational Circuits: Multiplexers, Decoders, Programmable Logic Devices Lecture 5 Doru Todinca Textbook This chapter is based on the book [RothKinney]: Charles H. Roth, Larry L. Kinney, Fundamentals

More information

Gates and and Circuits

Gates and and Circuits Chapter 4 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the

More information

SYNTHESIS OF COMBINATIONAL CIRCUITS

SYNTHESIS OF COMBINATIONAL CIRCUITS HPTER 6 SYNTHESIS O OMINTIONL IRUITS 6.1 Introduction oolean functions can be expressed in the forms of sum-of-products and productof-sums. These expressions can also be minimized using algebraic manipulations

More information

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 DIGITAL ELECTRONICS Marking scheme : Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 Aim: This experiment will investigate the function of the

More information

Logic diagram: a graphical representation of a circuit

Logic diagram: a graphical representation of a circuit LOGIC AND GATES Introduction to Logic (1) Logic diagram: a graphical representation of a circuit Each type of gate is represented by a specific graphical symbol Truth table: defines the function of a gate

More information

DIGITAL LOGIC COMPUTER SCIENCE

DIGITAL LOGIC COMPUTER SCIENCE 29 DIGITL LOGIC COMPUTER SCIENCE Unit of ENGINEERS CREER GROUP Head O ce: S.C.O-2-22 - 23, 2 nd Floor, Sector-34/, Chandigarh-622 Website: www.engineerscareergroup.in Toll Free: 8-27-4242 E-Mail: ecgpublica

More information

Chapter 1: Digital logic

Chapter 1: Digital logic Chapter 1: Digital logic I. Overview In PHYS 252, you learned the essentials of circuit analysis, including the concepts of impedance, amplification, feedback and frequency analysis. Most of the circuits

More information

Name EGR 2131 Lab #2 Logic Gates and Boolean Algebra Objectives Equipment and Components Part 1: Reading Pin Diagrams 7400 (TOP VIEW)

Name EGR 2131 Lab #2 Logic Gates and Boolean Algebra Objectives Equipment and Components Part 1: Reading Pin Diagrams 7400 (TOP VIEW) Name EGR 23 Lab #2 Logic Gates and Boolean Algebra Objectives ) Become familiar with common logic-gate chips and their pin numbers. 2) Using breadboarded chips, investigate the behavior of NOT (Inverter),

More information

BOOLEAN ALGEBRA AND LOGIC FAMILIES

BOOLEAN ALGEBRA AND LOGIC FAMILIES C H A P T E R 7 Learning Objectives Unique Feature of Boolean Algebra Laws of Boolean Algebra Equivalent Switching Circuits DeMorgan s Theorem s The Sum-of-Products (SOP) Form The Standard SOP Form The

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

Logic Symbols with Truth Tables INVERTER A B NAND A B C NOR C A B A B C XNOR A B C A B Digital Logic 1

Logic Symbols with Truth Tables INVERTER A B NAND A B C NOR C A B A B C XNOR A B C A B Digital Logic 1 Slide Logic Symbols with Truth Tables UFFER INVERTER ND NND OR NOR XOR XNOR 6.7 Digital Logic Digital logic can be described in terms of standard logic symbols and their corresponding truth tables. The

More information

DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER

DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS SUBJECT CODE: EC2203 FACULTY NAME/DESIGNATION: SUGAPRIYAA.THA / LECTURER CLASS: II YEAR ECE UNIT-I MINIMISATION

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations.

This Figure here illustrates the operation for a 2-input OR gate for all four possible input combinations. Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 5 Lecture Title:

More information

3.1 There are three basic logic functions from which all circuits can be designed: NOT (invert), OR, and

3.1 There are three basic logic functions from which all circuits can be designed: NOT (invert), OR, and EE 2449 Experiment 3 Jack Levine and Nancy Warter-Perez, Revised 6/12/17 CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-2449 Digital Logic Lab EXPERIMENT 3

More information

Logic Circuit Design

Logic Circuit Design Logic Circuit Design we have studied Truth Tables Logic gates Logic algebra K-maps 1 All these are tools Tools Truth Tables Logic gates Logic algebra K-maps 2 All these are tools Tools Truth Tables Logic

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 5 Floyd, Digital Fundamentals, th ed 28 Pearson Education 29 Pearson Education, Upper Saddle River, NJ 7458. ll Rights Reserved ombinational Logic ircuits

More information

Digital Fundamentals 8/29/2016. Summary. Summary. Floyd. Chapter 3 A X. The Inverter

Digital Fundamentals 8/29/2016. Summary. Summary. Floyd. Chapter 3 A X. The Inverter Digital Fundamentals Tenth Edition Floyd Chapter 3 The Inverter The inverter performs the oolean NOT operation. When the input is LOW, the output is HIGH; when the input is HIGH, the output is LOW. Input

More information

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions

Combinational logic. ! Regular logic: multiplexers, decoders, LUTs and FPGAs. ! Switches, basic logic and truth tables, logic functions Combinational logic! Switches, basic logic and truth tables, logic functions! Algebraic expressions to gates! Mapping to different gates! Discrete logic gate components (used in labs and 2)! Canonical

More information

EEE 301 Digital Electronics

EEE 301 Digital Electronics EEE 301 Digital Electronics Lecture 1 Course Contents Introduction to number systems and codes. Analysis and synthesis of digital logic circuits: Basic logic functions, Boolean algebra,combinational logic

More information

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS

DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS DIGITAL CIRCUITS AND SYSTEMS ASSIGNMENTS 1 SOLUTIONS 1. Analog signal varies continuously between two amplitudes over the given interval of time. Between these limits of amplitude and time, the signal

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

Outline. CPE/EE 422/522 Advanced Logic Design L02. Review: Combinational-Circuit Building Blocks. Multiplexers: 2-to-1 Multiplexer

Outline. CPE/EE 422/522 Advanced Logic Design L02. Review: Combinational-Circuit Building Blocks. Multiplexers: 2-to-1 Multiplexer Outline CPE/EE 422/522 Avance Logic Design L2 Electrical an Computer Engineering University o Alabama in Huntsville What we know Laws an Theorems o Boolean Algebra Simpliication o Logic Epressions Using

More information

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates

Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Chapter 4: The Building Blocks: Binary Numbers, Boolean Logic, and Gates Objectives In this chapter, you will learn about The binary numbering system Boolean logic and gates Building computer circuits

More information

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006

Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 COE/EE2DI4 Midterm Test #1 Fall 2006 Page 1 Dr. Nicola Nicolici COE/EE2DI4 Midterm Test #1 Oct 18, 2006 Instructions: This examination paper includes 10 pages and 20 multiple-choice questions starting

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Design of Multidimensional Space Motion Simulation System For Spacecraft Attitude and Orbit Guidance and Control Based on Radar RF Environment

Design of Multidimensional Space Motion Simulation System For Spacecraft Attitude and Orbit Guidance and Control Based on Radar RF Environment 2016 Sixth International Conerence on Instrumentation & Measurement, Computer, Communication and Control Design o Multidimensional Space Motion Simulation System For Spacecrat Attitude and Orbit Guidance

More information

2. Transceiver Design Flow Guide

2. Transceiver Design Flow Guide 2. Transceiver Design Flow Guide SIV53002-4.0 This chapter describes the Altera-recommended basic design low that simpliies Stratix IV GX transceiver-based designs. Use the ollowing design low techniques

More information

Digital Fundamentals 9/4/2017. Summary. Summary. Floyd. Chapter 3. The Inverter

Digital Fundamentals 9/4/2017. Summary. Summary. Floyd. Chapter 3. The Inverter Digital Fundamentals Tenth Edition Floyd Chapter 3 29 Pearson Education, Upper 28 Pearson Saddle River, Education NJ 7458. ll Rights Reserved The Inverter The inverter performs the oolean NOT operation.

More information

In this lecture: Lecture 8: ROM & Programmable Logic Devices

In this lecture: Lecture 8: ROM & Programmable Logic Devices In this lecture: Lecture 8: ROM Programmable Logic Devices Dr Pete Sedcole Department of EE Engineering Imperial College London http://caseeicacuk/~nps/ (Floyd, 3 5, 3) (Tocci 2, 24, 25, 27, 28, 3 34)

More information

EECS-140/141 Introduction to Digital Logic Design Lecture 4:Simplification in Logic Synthesis

EECS-140/141 Introduction to Digital Logic Design Lecture 4:Simplification in Logic Synthesis EECS-140/141 Introduction to Digital Logic Design Lecture 4:Simplification in Logic Synthesis I. REVIEW AND INTRODUCTION I.A General Synthesis Procedure I.A.1 Express Function as: I.A.1.a Define variables

More information

Methods of Computational Intelligence for Nonlinear Control Systems

Methods of Computational Intelligence for Nonlinear Control Systems ICCAS June -, KINTEX, Geonggi-Do, Korea Methods o Computational Intelligence or Nonlinear Control Sstems Bogdan M. Wilamowski Auburn Universit, Alabama Microelectronic, Science and Technolog Center, Broun

More information

Digital Logic Design ELCT 201

Digital Logic Design ELCT 201 Faculty of Information Engineering and Technology Dr. Haitham Omran and Dr. Wassim Alexan Digital Logic Design ELCT 201 Winter 2017 Midterm Exam Second Chance Please tick the box of your major: IET MET

More information

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output.

LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. LOGIC GATES AND LOGIC CIRCUITS A logic gate is an elementary building block of a Digital Circuit. Most logic gates have two inputs and one output. At any given moment, every terminal is in one of the two

More information

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University

Computer Architecture: Part II. First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Computer Architecture: Part II First Semester 2013 Department of Computer Science Faculty of Science Chiang Mai University Outline Combinational Circuits Flips Flops Flops Sequential Circuits 204231: Computer

More information

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook)

ECE 410: VLSI Design Course Lecture Notes (Uyemura textbook) ECE 410: VLSI Design Course Lecture Notes (Uyemura tetbook) Professor Fathi Salem Michigan State University We will be updating the notes this Semester. Lecture Notes Page 2.1 Electronics Revolution Age

More information

2. Transceiver Design Flow Guide for Stratix IV Devices

2. Transceiver Design Flow Guide for Stratix IV Devices February 2011 SIV53002-4.1 2. Transceiver Design Flow Guide or Stratix IV Devices SIV53002-4.1 This chapter describes the Altera-recommended basic design low that simpliies Stratix IV GX transceiver-based

More information

EECS 150 Homework 4 Solutions Fall 2008

EECS 150 Homework 4 Solutions Fall 2008 Problem 1: You have a 100 MHz clock, and need to generate 3 separate clocks at different frequencies: 20 MHz, 1kHz, and 1Hz. How many flip flops do you need to implement each clock if you use: a) a ring

More information

5.3 Optimization of Logic Circuits

5.3 Optimization of Logic Circuits 5.3 Optimization of Logic Circuits P. M. KINTNER (97) R. A. GILBERT (985, 995, 25) Instrumentation and controls is an engineering discipline, expertise, and practice that relies heavily on information

More information

Section III. Area, Timing and Power Optimization

Section III. Area, Timing and Power Optimization Section III. Area, Timing and Power Optimization Introduction Physical implementation can be an intimidating and challenging phase o the design process. This section introduces eatures in Altera s Quartus

More information

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE PBALASUBRAMANIAN Dr RCHINNADURAI MRLAKSHMI NARAYANA Department of Electronics and Communication Engineering

More information

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL

Digital Circuits II Lecture 6. Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL Digital Circuits II Lecture 6 Lab Demonstration 3 Using Altera Quartus II to Determine Simplified Equations & Entering Truth Table into VHDL References (Text Book): 1) Digital Electronics, 9 th editon,

More information

UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS

UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS UNIT-2: BOOLEAN EXPRESSIONS AND COMBINATIONAL LOGIC CIRCUITS STRUCTURE 2. Objectives 2. Introduction 2.2 Simplification of Boolean Expressions 2.2. Sum of Products 2.2.2 Product of Sums 2.2.3 Canonical

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

CS Spring Introduction - 6. Concept of state in digital systems y Analogous to variables and program counters in software systems

CS Spring Introduction - 6. Concept of state in digital systems y Analogous to variables and program counters in software systems CS 5 - Spring 2 - Introduction - Welcome to CS 5: Components and Design Techniques for Digital Sstems Wh are we here? Course staff Rand Kat (Instructor), Po Yan (Head T) Teaching ssistants: Steve Fang,

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd Chapter 3 28 Pearson Education 29 Pearson Education, Upper Saddle River, NJ 7458. ll Rights Reserved The Inverter The inverter performs the oolean NOT operation.

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

Digital Electronic Concepts

Digital Electronic Concepts Western Technical College 10662137 Digital Electronic Concepts Course Outcome Summary Course Information Description Career Cluster Instructional Level Total Credits 4.00 Total Hours 108.00 This course

More information

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits

Module 4: Design and Analysis of Combinational Circuits 1. Module-4. Design and Analysis of Combinational Circuits 1 Module-4 Design and Analysis of Combinational Circuits 4.1 Motivation: This topic develops the fundamental understanding and design of adder, substractor, code converter multiplexer, demultiplexer etc

More information

Study Guide for the First Exam

Study Guide for the First Exam Study Guide or the First Exam Chemistry 838 Fall 27 T V Atkinson Department o Chemistry Michigan State Uniersity East Lansing, MI 48824 Table o Contents Table o Contents...1 Table o Tables...1 Table o

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

A New Description of MOS Circuits at Switch-Level With Applications

A New Description of MOS Circuits at Switch-Level With Applications A New Description of MOS Circuits at Switch-Level With Applications Massoud PEDRAM Department of Electrical Engineering-Sstems, Universit of Southern California, Los Angeles, CA 90007-262, USA Xunwei WU*

More information

De Morgan s second theorem: The complement of a product is equal to the sum of the complements.

De Morgan s second theorem: The complement of a product is equal to the sum of the complements. Q. What is Gate? State and prove De Morgan s theorems. nswer: digital circuit having one or more input signals but only one output signal is called a gate. De Morgan s first theorem: The complement of

More information

Equations of Parallel and Perpendicular Lines

Equations of Parallel and Perpendicular Lines COMMON CORE AB is rise - - 1 - - 0 - - 8 6 Locker LESSON. Equations of Parallel and Perpendicular Lines Name Class Date. Equations of Parallel and Perpendicular Lines Essential Question: How can ou find

More information

Positive and Negative Logic

Positive and Negative Logic Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 4 Lecture Title:

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

UNIT III. Designing Combinatorial Circuits. Adders

UNIT III. Designing Combinatorial Circuits. Adders UNIT III Designing Combinatorial Circuits The design of a combinational circuit starts from the verbal outline of the problem and ends with a logic circuit diagram or a set of Boolean functions from which

More information

Lecture 7: Digital Logic

Lecture 7: Digital Logic Lecture 7: Digital Logic Last time we introduced the concept of digital electronics i.e., one identifies a range of voltages with the value, and another range with the value But we didn t specify these

More information

In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions

In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions In this lecture: Lecture 3: Basic Logic Gates & Boolean Expressions Dr Pete Sedcole Department of E&E Engineering Imperial College London http://cas.ee.ic.ac.uk/~nps/ (Floyd 3.1 3.6, 4.1) (Tocci 3.1 3.9)

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY 18 Chapter 1 Introduction 1.9 Printed-Circuit oards printed-circuit board n IC is normally mounted on a printed-circuit board (PC) [or printed-wiring (PC) board (PW)] that connects it to other ICs in a

More information

QUIZ. What do these bits represent?

QUIZ. What do these bits represent? QUIZ What do these bits represent? 1001 0110 1 QUIZ What do these bits represent? Unsigned integer: 1101 1110 Signed integer (2 s complement): Fraction: IBM 437 character: Latin-1 character: Huffman-compressed

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information