FPGA-Based Autonomous Obstacle Avoidance Robot.

Size: px
Start display at page:

Download "FPGA-Based Autonomous Obstacle Avoidance Robot."

Transcription

1 People s Democratic Republic of Algeria Ministry of Higher Education and Scientific Research University M Hamed BOUGARA Boumerdes Institute of Electrical and Electronic Engineering Department of Electronics Final Year Project Report Presented in Partial Fulfilment of the Requirements for the Degree of MASTER In Electrical and Electronic Engineering Option: Computer Engineering. Title: FPGA-Based Autonomous Obstacle Avoidance Robot. Presented by: - AZZOUGUI Yasmina. - MAKHLOUF Yasmine. Supervisor: Dr.BENZEKRI Registration Number:.../2015

2 Dedication We would like to thank our families, for their encouragement and support. We owe all our success to them. I

3 Acknowledgement We would like to thank our supervisor Dr. A. Benzekri for his support during the completion of the project. We would like also to extend our deepest gratitude to Mrs.Gouda for her guidance, and all the teachers who encouraged us and supported us during our work. II

4 Abstract The aim of this report is to describe the design and the implementation of a Field Programmable Gate Array (FPGA)-Based autonomous obstacle avoidance robot. The rotating sonar system mounted on a servo motor performs the obstacle detection, by reading obstacle distances at known angles, with respect to the center of the robot. The digital controller is designed using a heterogeneous computer platform, this platform consists of the System on Programmable Chip (SoPC) that reads data from the sensor, and a custom hardware developed in both Very high speed integrated circuit Hardware Description Language (VHDL) and Library of Parallel Modules (LPMs). After processing data and taking decisions, the obstacle avoidance task is performed by generating Pulse Width Modulation (PWM) signals to actuate the direction of the wheels. The system is developed using the Altera Quartus II software web edition version 9.1, and realized on a Cyclone-II EP2C35F672 lowcost FPGA platform to verify its feasibility and functionality. III

5 Table of contents Dedication.... Acknowledgement Abstract... I II III Table of contents... IV List of Acronyms... List of Figures... VII VIII Chapter 1 Introduction 1.1. Overview Motivation Objective Structure of the System Organization of the Report Chapter 2 Theoretical Background 2.1. Field Programmable Gate Array Applications The DE2 Development and Education Board Soft Core Processors 07 Nios II Processor System on Programmable Chip SOPC The Robot Micro Servo Sg Ultrasonic Sensor 10 IV

6 2.5. H- Bridge Software and Hardware Tools.. 13 Chapter 3 Hardware System Design 3.1. Introduction Off chip Hardware Design Sensing Unit and its Support 15 Ultrasonic Sensor. 15 Servo Motor Motor Driving Unit 17 H-Bridge.. 17 Protective Circuit Bidirectional Voltage Translation On Chip System Design SoPC System Non-SoPC System 20 Sensor Trigger Signal Generator.. 20 Servo Motor PWM Generator.. 21 DC Motor Direction Control Unit 21 DC Motor PWM Generator. 22 Chapter 4 Software System Design 4.1. Introduction Main Program Scanning Procedure Obstacle Detection.. 28 V

7 4.5. Obstacle Avoidance Examples Programming Language.. 32 Chapter 5 Conclusion Conclusion 34 References.. 35 Bibliography. 36 VI

8 List of Acronyms.bdf: block diagram file..bsf: block schematic file. ADC: Analog to Digital Converter. ASIC: application specific integrated circuit. DE2 board: Development and Education board. DSP: Digital Signal Processing. FPGA : Field Programmable Gate Array. GUI: Graphical User Interface. I/O: Input Output. IC: Integrated Circuit. IDE: Integrated Development Environment. JP1: Jumper 1. JTAG: Joint Test Action Group. LPM: Library of Parallel Modules. PLL: Phase-Locked Loop. PWM: Pulse Width Modulator. RAM: Random Access Memory. RISC: Reduced Instruction Set Computer. ROM: Read Only Memory. RTL: Register Transfer Level. SDRAM: Synchronous Dynamic Random Access Memory. SoPC: System on Programmable Chip. SPI: Serial Peripheral Interface. SRAM: Static Random Access Memory. UART: Universal Asynchronous Receiver Transmitter VHDL: Very high speed integrated circuit Hardware Description Language. VII

9 List of Figures Figure 1.1 Figure1.2 Figure 1.3 Figure 1.4 Figure 1.5 Figure 2.1 Figure 2.2 Figure 2.3 Figure 2.4 Figure 2.5 Figure 2.6 Figure 2.7 Figure 2.8 Figure 2.9 Figure 2.10 Figure 2.11 Figure 2.12 Figure 2.13 Figure 3.1 Figure 3.2 Figure 3.3 Figure 3.4 Figure 3.5 Figure 3.6 Figure 3.7 Figure 3.8 Figure 3.9 Figure 3.10 Figure3.11 Figure3.12 Figure 3.13 First Digitally Operated and Programmable Robot (Unimate)...1 Microprocessor Based System..2 Example of a Microcontroller Chip...3 Altera FPGA Chip...3 General Block Diagram of our System...4 The DE2 Development and Educational Board..7 Nios II Processor Available Types..8 SOPC Builder.9 The FPGA Based Obstacle Avoidance Robot Platform Micro Servo Sg Control Signal for the Servo Motor...10 HC-SR04 Ultrasonic Sensor...10 Ultrasonic Sensor Working Principles..11 The L298 H-Bridge...11 The L298 H-Bridge Internal Circuitry..12 The Working Principle of the H-bridge H-Bridge operations table..13 Computer and Design Software Tools Block Diagram of the Overall System Working Principle of the HC-SR04 Sonar Sensor 15 Servo Motor Signals and their Corresponding Positions..16 DC Motor Protective Circuit Hardware System Design.. 18 Screenshot of the SoPC Builder System..19 Nios II System Block Trigger Generator Symbol File 20 Servo Motor PWM Generator Symbol File...21 DC Motor Direction Control Symbol File DC Motor PWM Generator Symbol File...22 Top Level Schematic for the On-chip System Compilation report.24 VIII

10 Figure 3.14 Figure 3.15 Figure 3.16 Figure 4.1 Figure 4.2 Figure 4.3 Figure 4.4 Figure 4.5 Figure 4.6 Figure 4.7 Figure 4.8 Overall System RTL View 24 DC Motor Direction Control RTL View..25 The Overall System...25 Main Program Flow Chart 26 Scanning Procedure..27 Left and Right Obstacle Detection...28 Obstacle Avoidance Subroutine...30 A Path Following..31 Obstacle At the Starting Position..31 Robot At the Center of Three equally spaced obstacles 32 Segment of Nios II C code...33 IX

11 Chapter 1 Introduction

12 Chapter 1 Introduction 1.1. Overview Robotics is the branch of mechanical engineering, electrical engineering and computer science that deals with the design, construction, operation, and application of robots, as well as computer systems for their control, sensory feedback, and information processing. Throughout history, robotics has been often seen to mimic human behavior, and often manage tasks in a similar fashion. Today, robotics is a rapidly growing field, as technological advances continue; researching, designing, and building new robots serve various practical purposes, whether domestically, commercially, or militarily. Many robots do jobs that are hazardous to people such as defusing bombs, mines and exploring shipwrecks. Fully autonomous robots only appeared in the second half of the 20th century. The first digitally operated and programmable robot, the Unimate shown in Figure 1.1, was installed in 1961 to lift hot pieces of metal from a die casting machine and stack them. Commercial and industrial robots are widespread today and used to perform jobs more cheaply, or more accurately and reliably, than humans [1]. Figure 1.1 First Digitally Operated and Programmable Robot (Unimate) 1.2. Motivation Any mobile robot that must reliably operate in an unknown or dynamic environment must be able to perform obstacle avoidance. Therefore, there has been a great amount of research devoted to the obstacle avoidance problem for autonomous robot platforms and intelligent vehicles. Moreover, obstacle avoidance may be divided into two parts; obstacle detection and obstacle avoidance control. Page 1

13 Chapter 1 Introduction 1.3. Objective Our objective in this project is to design and implement a Field Programmable Gate Array (FPGA)-Based autonomous obstacle avoidance robot, using an ultrasonic sensor. First, the robot checks if no obstacle is ahead, and goes forward while nothing is in front of it. In the meanwhile, if any obstacle is detected, the motors stop. At this moment, the robot must decide which direction to turn to. In order to achieve that, the servo motor carrying the sensor rotates left and right, enabling the sensor to read the distance of all obstacles present in an angle of 180.Then, depending on the input signal from the sensor, the SoPC redirects the robot to move in an alternate direction by actuating the motors connected to it through a motor driver Integrated Circuit (IC) Structure of the System Several platforms can be used to implement the digital controller of our system. Among them we can state the microprocessor, microcontroller, and FPGA. A microprocessor based system does not have the necessary circuitry on one chip, external devices are required such as memory, Input /Output buffers, in addition to a set of signals to transfer information, control signals for timing, and clock circuitry to constitute the overall architecture. Figure 1.2 shows an example a Z80 microprocessor based system. Figure 1.2 Microprocessor Based System. Page 2

14 Chapter 1 Introduction Since microprocessors do not have the necessary circuitry on one chip, another alternative, a microcontroller, can be used. A microcontroller is a small computer, with the advantage of gathering, on a single integrated circuit, all of the necessary components like a processor core, memory, programmable input/output peripherals and timers. However, microcontrollers already have their own circuitry and instruction set that the programmer must follow in order to write codes, which restricts the microcontroller to certain tasks. Figure 1.3 illustrates an example of a microcontroller including its components. Figure 1.3 Example of a Microcontroller Chip. The previous limitations led us to the FPGA, an integrated circuit that contains millions of logic gates, and can be electrically configured to perform a certain task. The very basic nature of FPGAs allows it to be more flexible than most microcontrollers. The term field programmable already tells that the whole FPGA device can be reprogrammed to do any logic task that fits the number of gates it has. Figure 1.4 shows an FPGA chip. Figure 1.4 Altera FPGA Chip. Page 3

15 Chapter 1 Introduction Due to the flexibility the FPGA offers, we chose to implement our digital controller by integrating a heterogeneous computing platform made up of microprocessor based system and some custom logic, all on a single chip. Our system consists of two main parts, Hardware and software. The software consists of the algorithms and the piece of code executed by the microprocessor to control the hardware part. This latter is detailed as following. FPGA Block contains the soft processor system as well as custom controlling units. Sensing unit consists of a single ultrasonic sensor that measures distances. Servo motor supports the sensing unit and rotates 180. Motor driver and DC Motors consists of an H-Bridge chip that receives control signals from the FPGA to actuate the DC motors direction. Interface block performs voltage translation between the FPGA and the Hardware components to make them compatible with each other. Servo Motor Sensing unit Motor Driver and DC Motors Interfacing Circuit SoPC FPGA Non-SoPC NIOS II Soft Processor I/O Peripherals Avalon Switch Interconnect Fabric Custom Logic Implemented with VHDL and LPM Units JTAG UART On-Chip Memory Figure 1.5 The general block diagram of the FPGA-based autonomous obstacle avoidance robot Page 4

16 Chapter 1 Introduction 1.5. Organization of the Report The report is organized into five chapters. Chapter 2 covers the theoretical background and introduces the different materials used in our project. Chapter 3 deals with the System hardware design. In chapter 4 the software design process and algorithm used to configure the FPGA are described. Chapter 5 concludes the report with recommendations for improvement and areas for further development. The report ends with a set of references for further readings. Page 5

17 Chapter 2 Theoretical Background

18 Chapter 2 Theoretical Background This chapter covers the theoretical background and the different components as well as their working principle Field-Programmable Gate Array (FPGA) A Field-Programmable Gate Array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence "field-programmable". The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an application-specific integrated circuit (ASIC). (Circuit diagrams were previously used to specify the configuration, as they were for ASICs, but this is increasingly rare). FPGAs contain an array of programmable logic blocks, and a hierarchy of reconfigurable interconnects that allow the blocks to be "wired together, like many logic gates that can be inter-wired in different configurations. Logic blocks can be configured to perform complex combinational functions, or merely simple logic gates like AND and XOR. In most FPGAs, logic blocks also include memory elements, which may be simple flip-flops or more complete blocks of memory [1] Applications Technically, an FPGA can be used to solve any problem which is computable. This is trivially proven by the fact that FPGA can be used to implement a Soft microprocessor. Their advantage lies in that they are sometimes significantly faster for some applications due to their parallel nature and optimality in terms of the number of gates used for a certain process. Specific applications of FPGAs include digital signal processing, software-defined radio, ASIC prototyping, medical imaging, computer vision, speech recognition, cryptography, bioinformatics, computer hardware emulation, radio astronomy, metal detection and a growing range of other areas [1] The DE2 Development and Education Board The DE2 board shown in Figure 2.1 is an ideal platform for the implementation of many types of digital systems based on the FPGA introduced earlier. The board offers a rich set of features that make it suitable for a variety of design projects, as well as for the development of sophisticated digital systems. Page 6

19 Chapter 2 Theoretical Background Figure 2.1 The DE2 Development and Educational Board Soft core processors FPGAs can implement logic that functions as a complete microprocessor while providing many flexibility options. An important difference between discrete (hard) microprocessors and FPGAs is that an FPGA contains no logic when it powers up. Before you run software on a Nios II based system, the FPGA must be configured with a hardware design that contains a Nios II processor. To configure an FPGA is to electronically program the FPGA with a specific logic design [3]. Nios II processor Nios II is a 32-bit embedded-processor architecture designed specifically for the Altera family of FPGAs. The Nios II architecture is a Reduced Instruction Set Computer ( RISC) soft-core architecture which is implemented entirely in the programmable logic and memory blocks of Altera FPGAs. The soft-core nature of the Nios II processor lets the system designer specify and generate a custom Nios II core, tailored for his or her specific application requirements. System designers can extend the Nios II's basic functionality by adding a predefined memory management unit, or defining custom instructions and custom peripherals [1]. Nios II is offered in 3 different configurations: Nios II/f (fast), Nios II/s (standard), and Nios II/e (economy). The "standard" and "fast" variants are designed for high execution performance system. Figure 2.2 shows the options each variant offers. The use of the "fast" or the Page 7

20 Chapter 2 Theoretical Background "standard" version requires the purchase of an annual license from Altera. However, the "economy" variant is license free. Although limited, the Nios II/e running at 50MHz proofs to have a processing time that is large enough to be adequate for this control application [4]. Figure 2.2 Nios II Processor Available Types System on Programmable Chip SoPC SoPC (System on a Programmable Chip) is a hardware development tool used for integrating various hardware components. SoPC Builder simplifies the task of building complex hardware systems on an FPGA. It allows to describe the topology of a system using a graphical user interface (GUI) shown in Figure 2.3 and then generates the hardware description language (Verilog or VHDL) files for that system. In addition, it allows choosing the processor core type and the level of cache, debugging, and custom functionality for each Nios II processor. The design can use on-chip resources such as memory (RAM, ROM), memory controllers (SRAM, SDRAM), Serial interfaces (UART, SPI), Timers, PLLs, DSP functions, and high-speed transceivers. After constructing the system using SoPC Builder, and adding any required custom logic to complete the top-level design, pin assignments must be created using the Quartus II software. The FPGAs external pins have flexible functionality, and a range of pins is available to connect to clocks, control signals, and input /output - I/O signals [5]. Page 8

21 Chapter 2 Theoretical Background Figure 2.3 SoPC Builder The Robot The robot we are using in our project is a car with two 5V DC motors, one controls the forward and backward motions and the other is used for the direction. Figure2.4 The FPGA Based Obstacle Avoidance Robot Platform. Page 9

22 Chapter 2 Theoretical Background 2.3. Micro Servo Sg90 This section describes the Micro Servo Sg90 and the procedure to control its position. A servo motor is a motor that takes commands from a series of pulses with constant period of 20ms, and different duty cycles each corresponding to a specific position. The Micro servo Sg90, shown in Figure 2.5, works just like the standard kinds but is smaller, it can Figure 2.5 Micro Servo Sg90 rotate 180 degrees (90 in each direction). It can be seen from Figure 2.6 that the servo motor operates at approximately 5V. Here are some specific positions with their adequate signals: position "0" (1.5 ms pulse) is middle, "90" (~2 ms pulse) is all the way to the right, -90" (~1ms pulse) is all the way to the left [2]. Figure 2.6 Control signal for the servo motor Ultrasonic Sensor A sensor is a device that measures a physical quantity and converts it into a 'signal' which can be read by an observer or by an instrument. There are a lot of different types of sensors. In this part we introduce the HC-SR04 ultrasonic sensor, shown in Figure 2.7, and its working principle. Active ultrasonic sensors generate high frequency sound waves and evaluate the echo which is received back by the sensor, measuring the time interval between sending the signal and receiving the echo to determine the distance to an object as shown in Figure 2.8. Figure 2.7 HC-SR04 ultrasonic sensor. Page 10

23 Chapter 2 Theoretical Background Figure 2.8 Ultrasonic Sensor Working Principles. HC-SR04 Ultrasonic Distance Sensor is able to measure distances from 2cm to 400cm with an accuracy of about 3mm. This module includes ultrasonic transmitter, ultrasonic receiver and its control circuit, in addition to 4 pins. VCC 5V, positive of the power supply Trigger Trigger Pin ECHO Echo Pin GND negative of the power supply Trigger and ECHO pins are used to interface this module with the DE2 Board. Besides the wide range of measurable distances, this module has the advantage of returning digital data rather than analog, allowing us to avoid extending our circuitry by eliminating the Analog to Digital Conversion (ADC) unit. This is why we chose this ultrasonic sensor in our project H- Bridge In this part we are going to describe the H Bridge and its operations. An H bridge is an electronic circuit that enables a voltage to be applied across a DC motor in either direction (forward and backward).it is available as integrated circuits (L298), or can be built from discrete components Figure 2.9 The L298 H-Bridge. (transistors). The L298 shown in Figure2.9 has two H- Bridges inside, so that we can drive two DC motors simultaneously [1]. Page 11

24 Chapter 2 Theoretical Background Figure 2.10 illustrates the internal circuitry of the L298 H-bridge. We can see that 3 pins are devoted for the control of each motor; two are used for the direction control and the third is used for enabling the H-Bridge. Figure 2.10 The L298 H-Bridge Internal Circuitry [6]. The term H -Bridge is derived from the typical graphical representation of such a circuit. An H bridge is built with four switches (solid-state). When the switches S1 and S4 (according to Figure 2.11) are closed (and S2 and S3 are open) a positive voltage will be applied across the motor. By opening S1 and S4 switches and closing S2 and S3 switches, this voltage is reversed, allowing reverse operation of the motor. The switches S1 and S2 should never be closed at the same time, as this would cause a short circuit on the input voltage source. The same applies to the switches S3 and S4. This condition is known as shoot-through. Figure 2.11 The Working Principle of the H-bridge. Page 12

25 Chapter 2 Theoretical Background The following table summarizes the H- bridge operations, with S1-S4 corresponding to the above figure. S1 S3 S2 S4 Quadrant Description ON OFF OFF ON Forward Running OFF ON ON OFF Backward Running ON ON OFF OFF Braking OFF OFF ON ON Braking Figure 2.12 H-Bridge operations table Computer and Design Software Tools Figure 2.13 illustrates the hardware and software tools used in our system design. Quartus II, SoPC Builder and Nios II Integrated Development Environment (IDE). Quartus II enables to design any logic circuit and enables the developers to compile their designs, perform timing analysis, examine Register Transfer Level -RTL diagrams, simulate a design's reaction to different stimuli, and configure the target device with the programmer. Quartus II software features include the SoPC Builder which has been used to create our microprocessor based system. This microprocessor is programmed using the Nios II IDE. Figure 2.13 Hardware and Software Tools. At this point, we illustrated the different components used for the obstacle avoidance implementation separately. What comes next, deals with the connection of these devices all together. Page 13

26 Chapter 3 Hardware System Design

27 Chapter 3 Hardware System Design 3.1. Introduction In this chapter we will detail the hardware system design of the autonomous obstacle avoidance robot. The system is made up of two main parts as illustrated in Figure 3.1. OFF chip system consists of the circuitry built on the proto-board. It connects the sensor, motor driving unit, servo motor, and bidirectional voltage translation unit. ON chip system implemented inside the FPGA. It gathers both SoPC and Non-SoPC systems; the SoPC system is composed of the Nios II Soft Processor, memory, JTAG and I/O ports. In the other hand, the Non-SoPC system contains some logic units developed in VHDL or LPMs, each dedicated for a specific function. OFF CHIP HARDWARE SYSTEM Sonar sensor Servo motor Bidirectional voltage level translation Motor driving unit DC motors ON CHIP HARDWARE SYSTEM NIOS II Processor SoPC System JTAG Non- SoPC System PWM generator for DC motors On chip memory Avalon switch fabric PIO Direction control block for DC motors PWM generator for servo motor Trigger signals for the sensor Figure 3.1 Block Diagram of the Overall System. Page 14

28 3.2. Off Chip Hardware Design Chapter 3 Hardware System Design This section deals with the Hardware circuit on the proto-board. This circuit is mainly composed of the following. o The Sensing unit and its support recover information about the environment by reading obstacle distances from different angles. o Motor driving unit interfaces the DC motors with the FPGA. o Bidirectional voltage translation unit ensures the interface between the FPGA and the off-chip circuitry implemented on the proto-board, by making the signals exchanged between them compatible with e ach other Sensing Unit and its Support Ultrasonic Sensor A single ultrasonic sensor of type HC-SR04 is used to detect the presence of any object in the robot environment. This module includes an ultrasonic transmitter, ultrasonic receiver and its control circuit. It is connected to the FPGA through two pins, ECHO pin and Trigger pin. The following figure shows the exchanged signals between the sensor and the DE2 board. Figure 3.2 Working Principle of the HC-SR04 Sonar Sensor. It can be seen from the Figure 3.2 that 2 signals are interchanged by the DE2 and the ultrasonic sensor. The first signal is a 10µS pulse, sent from the FPGA to the trigger pin of the sensor. This signal provokes the transmission of the eight 40 KHz ultrasonic burst. Page 15

29 Chapter 3 Hardware System Design Then, if there is an obstacle in-front of the module, it will reflect the ultrasonic burst as shown in Figure 2.8. This reflection is represented by a signal at the ECHO output of the sensor. This signal will be in HIGH state (5V) for the duration of time taken for sending and receiving the ultrasonic burst. Based on that duration and the speed of sound, we can calculate the distance of the obstacle reflecting the burst. The calculation, at a fixed position of the sensor, is done as following: If we let d to be the distance between the ultrasonic sensor and an obstacle, the Sensor will send a 2d digital signal to the ECHO port of the FPGA (the total distance traveled by the burst forward and backward). Also, since the speed of sound in the air is known to be 340 m/s = 34,000 cm/s, Thus d = (34,000*Time)/2. Since the dead time of data processing is very small we neglect it. To extend the area of study and detect the maximum number of obstacles present around the robot, we have to repeat the previous procedure from different angles. To achieve that, we fix the ultrasonic sensor on a servo motor. Servo Motor As mentioned earlier, a rotation of the ultrasonic sensor is required. To achieve that, we use the SG90 micro servo motor. This module is connected to the FPGA through a single pin and receives three successive signals from the FPGA. A PWM generator generates these three desired signals, to direct and fix the sensor at three specific positions as shown in the Figure 3.3. Figure 3.3 Servo Motor Signals and their Corresponding Positions. Page 16

30 Motor Driving Unit Chapter 3 Hardware System Design After the examination of the hardware design of the unit responsible of getting data related to the environment of the robot, we move to the hardware system used to interface the DC motors with the FPGA. H-Bridge The two DC motors of the robot respond to the data obtained from the ultrasonic sensor by changing the direction of rotation. To control the operation of each motor, three pins of the L298 H-Bridge are connected to the FPGA; two of these pins control the direction of rotation and the third one enables the bridge. However, this whole circuitry should be protected from certain damages. This is why we add a protective circuit. Protective Circuit To eliminate the undesirable inductive current from the DC motors and protect them from negative voltages, we build a protective circuit composed of diodes. Figure 3.4 shows the interfacing circuit of the DC motors with their protective circuitry. Figure 3.4 DC Motor Protective Circuit. Page 17

31 Chapter 3 Hardware System Design Bidirectional Voltage Translation All the components covered in the Off chip hardware system operates under a voltage of approximately 5V. However, the DE2 board supplies only 3.3V. Consequently, we integrated a bidirectional voltage translation unit as a bridge between the previous components and the DE2 board. Figure 3.5 shows the entire hardware system design of our project. Figure 3.5 Hardware System Design. In this section, we dealt with the hardware design. The next one will be devoted to the solution of the On-chip system controlling the overall circuitry On Chip System Design This section treats the On-chip system managing the previous hardware circuit by defining relationships between the input and output signals. This system is implemented on the FPGA and is partitioned into SoPC and Non-SoPC modules SoPC System The SoPC system is based on the Nios II soft processor connected to the following functional units using the SoPC builder. Page 18

32 Chapter 3 Hardware System Design o Nios II/e processor executes the software system and generates the control signals. It operates under 50MHz. o On-chip memory consists on the memory blocks in the Cyclone II chip. We specified a 40Kbyte memory arranged in 32-bit words. o I/O Peripherals control the Non-SoPC modules (will be discussed in section 3.3.2) and the whole Off-chip system, we created the following I/O peripherals. Trigger 2-bits output signal that resets and enables the VHDL unit responsible of triggering the ultrasonic sensor. Echo 1-bit input signal that receives the digital data back from the sensor. Servo_Motor 4-bits output signal used to select the duty cycle of the signal generated by the PWM unit and sent to the servo motor. Motor_Displacement 2-bits output signal that activates the VHDL unit controlling the direction of the DC motor forward and backward. Motor_Direction 2-bits output signal that activates the VHDL unit controlling the direction of the DC motor left and right. o JTAG_UART peripheral: a component that provides a suitable way to link the host computer to communicate with the Nios II soft-core processor. Besides, a switch is used to reset the Nios II processor, and the JP1 expansion header connects the DE2 board to the external hardware circuit. Figure 3.6 shows the SoPC builder with the entire SoPC system. While Figure 3.7 shows the Nios II system block. Figure 3.6 Screenshot of the SoPC Builder System. Page 19

33 Chapter 3 Hardware System Design Figure 3.7 Nios II System Block Non-SoPC System We designed four different Non-SoPC units either using VHDL or LPMs. These units are controlled by the SoPC system, and consist of PWM for DC and servo motors, sensor trigger signal generator and DC motor direction control. Sensor Trigger Signal Generator As mentioned in section 3.2.1, the SR-HC04 sonar sensor requires a trigger pulse of at least 10µS in order to start transmitting the ultrasonic burst. To achieve this, we implemented a VHDL code in Quartus II, ensuring the generation of the required signal. Figure 3.8 shows the symbol file for this code. Figure 3.8 Trigger Generator Symbol File. Page 20

34 Servo Motor PWM Generator Chapter 3 Hardware System Design Toward setting the servo motor carrying the sensor to three specific positions, we implemented a PWM unit responsible for generating the three different signals seen previously in Figure 3.3. This system is implemented by a 32 to 1 multiplexer. The latter is driven by a 5-bit binary counter to ensure the required duty cycle, in addition to a 14-bit binary counter, used as a clock divider to generate a 20ms periodic signal. Figure 3.9 shows the symbol file for the PWM generator. Figure 3.9 Servo Motor PWM Generator Symbol File. DC Motor Direction Control Unit Once the SoPC processes the data to and from the preceding input modules, it actuates the direction of the DC motors through a specific unit shown in Figure This unit is implemented on Quartus II in VHDL and is responsible for providing signals to the direction control pins of the H-Bridge. However, the H-bridge requires not only direction signals, but also an enable signal which will be discussed in the next section. Figure 3.10 DC Motor Direction Control Symbol File. Page 21

35 DC Motor PWM Generator Chapter 3 Hardware System Design As mentioned in section 3.2.2, the H-bridge has three pins for every motor. Two pins are used for direction control, and the third stands for enable. To control the speed of rotation, this enable is connected to a PWM generator. In our case, the PWM generator is implemented using a 32 to 1 LPM multiplexer driven by a 5-bit binary counter connected to the select lines of the multiplexer. The more successive ones we apply to the input of the latter, the higher the duty cycle will be. Figure 3.11 shows the symbol file of the PWM generator. Figure 3.11 DC Motor PWM Generator Symbol File. After covering both the SOPC and Non-SoPC systems, and creating a symbol file for all of the previous modules, it is time to link them all together with the Nios II soft processor in a single block diagram file. The resulting system is illustrated in Figure The compilation of the whole system was successful. While examining the compilation report shown in Figure 3.13 we can see, in addition to the project characteristics that the entire system uses only 5% of the total logic elements, 3% of the total pins, 70% of the total memory bit, and 824 registers. We could also have access to the RTL viewer which allows us to view a schematic of the internal structure of the design. Furthermore, we could explore a high-level representation of our designed circuit, from which lower-level representations and ultimately actual wiring can be derived. Figure 3.14 shows the RTL view of the overall system. We selected the DC motor control block, as an example, and obtained its internal structure shown on Figure Page 22

36 Figure 3.12 Top Level Schematic for the On-chip System. Chapter 3 Hardware System Design Page 23

37 Chapter 3 Hardware System Design Figure 3.13 Compilation Report. Figure 3.14 Overall System RTL View. Page 24

38 Chapter 3 Hardware System Design Figure 3.15 DC Motor Direction Control RTL View. Finally, the overall system is shown in Figure 3.16 including both the On-chip and Off-chip systems. Figure 3.16 The Overall System. At this stage, we finished with the hardware system design. What remains is the software system that will be presented in the next chapter. Page 25

39 Chapter 4 Software System Design

40 Chapter 4 Software System Design 4.1. Introduction Any embedded system is made of two parts: the hardware and software. Each of which is useless without the presence of the other. The software part is the one responsible of calculations, data manipulations and decision making. In this chapter we will deal with the programs and algorithms that constitute the software system of the autonomous obstacle avoidance robot. This software is written in C programming language and executed by the Nios II processor Main Program The main program is a program that links a set of subroutines. The flowchart of the main program is illustrated in Figure 4.1. Figure 4.1 Main Program Flow Chart. Page 26

41 Chapter 4 Software System Design The program starts by orienting the servo motor straight forward (position 0), and triggers the sensor in order to read the distance of the nearest forward obstacle D_Forward. In case the distance read is greater than a predefined distance D (60 cm), the robot continues moving forward and scans for any obstacle at the same time. However, if at any time the distance read is less than D, the robot stops moving and two subroutines are called successively. These subroutines are the obstacle detection left and right, and obstacle avoidance respectively. Once executed, the robot adjusts itself straight and resumes moving forward while repeating all previous procedures. The scanning procedure, obstacle detection and obstacle avoidance subroutines present in Figure 4.1 will be discussed in detail in the following sections Scanning Procedure The aim of this procedure is to compute the duration for the echo port to be high since this indicates the presence of an obstacle. It can be seen from Figure 4.2 that the procedure starts by triggering the sensor with the appropriate signal, and waits for Echo port to be high. While this port is high (1) a counter is incremented. Once the Echo port turns low (0) the final count is translated into distance according to the calculations shown in section Figure 4.2 Scanning Procedure. Page 27

42 Chapter 4 Software System Design 4.4. Obstacle Detection As mentioned in the main program, obstacle detection left and right is required in case the robot cannot continue moving forward. Figure 4.3 shows its flowchart. Figure 4.3 Left and Right Obstacle Detection. Page 28

43 Chapter 4 Software System Design As stated in the main program description, the obstacle detection subroutine is called in case the sensor reads a forward obstacle distance smaller than the predefined distance D. Once called, an instruction is executed to fix the servo motor in the right direction (90 right with respect to its center). Then, the scan for obstacle subroutine is called to return the distance of the nearest obstacle on the right side of the robot D_Right. After that, another instruction is executed to direct the servo motor left (90 left with respect to its center) and the scan for obstacle subroutine is called again to get the D_Left. At this time, the three distances D_forward, D_Right and D_Left are known, we have to compare them to get the largest value L Obstacle Avoidance According to the main program flowchart in Figure 4.1, obstacle avoidance is executed once the obstacle detection procedure returns the largest distance L. This distance L refers to the suitable direction to turn to. Figure 4.4 describes the steps necessary to achieve this task. As it appears in Figure 4.4, the program verifies weather the forward distance is less than a predefined distance D1, the purpose of this verification is to check if the robot has enough space to turn left or right without colliding with the obstacle in front of it. If it is not the case, the robot moves backward in the direction of the nearest obstacle. Otherwise, if the largest distance matches the one on the right, the robot turns right and the flow of execution goes back to the main program. Else it will turn left. However, the largest distance whether it is on the right or the left must be greater than the predefined distance D1 (20 cm), to ensure that the robot does not stuck within the surrounding obstacles. A special case would be the one where left and right distances are equal and both less than D1, in this case the robot goes backward while scanning on both sides until it finds a suitable direction to turn to. An illustration of this case is shown in example 3 of section 4.6. Page 29

44 Chapter 4 Software System Design Figure 4.4: Obstacle Avoidance Subroutine Examples In this part we have chosen three examples to illustrate the behavior of the robot and to explain more our software. In those cases the robot has to avoid the obstacles in its way autonomously without any guidance. Figure 4.5 illustrates example 1 where the robot starts scanning forward and reads a distance greater than D. It starts, as a result moving forward and carry on while scanning. At position 3, the robot reads a forward distance less than D, which makes it stop. The servo motor carrying the sensor turns left and right and reads D_Left and D_right. At this point, the system performs a comparison of these distances and returns D_Right as the largest one. Page 30

45 Chapter 4 Software System Design Therefore, the robot turns right since both D_Forward and D_Right are large enough to allow it do that without colliding. P1 P2 P3 P4 P4 P: Position Motion direction of the robot. Scanning task. Figure 4.5 A Path Following. Figure 4.6 illustrates example 2 where the robot starts scanning forward and reads a distance less than D. As a result it scans left and right and finds that D_Right is the largest distance. However, D_Forward is not large enough to allow the robot turn. To gain more space to achieve that, the robot goes backward left and adjusts the wheels straight to move forward until it encounters another obstacle where it repeats the same steps. P1 P4 P3 P2 Figure 4.6 Obstacle At the Starting Position. Page 31

46 Chapter 4 Software System Design Figure 4.7 illustrates example 3 where the robot starts scanning forward and reads a distance less than D1. As a result it scans left and right and finds that the D_left and D_right are equal and both smaller than D1. In this case the robot cannot turn to any direction. Hence, it goes backward slowly and checks at the same time for the largest distance to turn to in this case it is the left direction. P1 P2 P2 P4 P3 Figure 4.7 Robot At the Center of Three equally spaced obstacles Programming Language The previous algorithms are programmed on the Nios II processor using C programming language. We chose C because it allows producing codes faster and more efficiently especially when dealing with print functions. In addition to the fact that it is a universally Page 32

47 Chapter 4 Software System Design available language; the code is easier to transport to different processors for further developments. The tool used to program these algorithms is the Nios II 9.1 Integrated Development Environment (IDE). Figure 4.8 illustrates a segment of the obstacle avoidance code in C. Figure 4.8 Segment of Nios II C Code. In these last two chapters, we covered both the hardware and software system designs of the FPGA-based obstacle avoidance robot. We will now establish a conclusion for the whole report with some suggestions for further improvements. Page 33

48 Chapter 5 Conclusion

49 Chapter 5 Conclusion Conclusion This report covered the design and the implementation of an FPGA-Based obstacle avoidance robot. The two main tasks of the controller are obstacle detection and obstacle avoidance. The use of the FPGA as the platform for developing the digital control system appeared to be of great advantage due to its high speed and configurability. SoPC technique was also used to implement the Nios II processor based system associated with other custom logic. The main advantages of this approach are: Flexibility in using the exact number of peripherals desired,the suitable memory size and the processor core type. The heterogeneous computer platform partitions tasks between the SoPC and Non- SoPC systems. This prevents the SoPC from performing all the tasks by itself. The rotating sonar system gives a more accurate position for the obstacle and provides us with the advantage of using only one sensor. Despite the low-cost Cyclone-II FPGA platform and the economic version of the Nios II used, all required resources are provided to meet successfully all the objectives set at the beginning of the project. However, further improvements to enhance the robot are stated below. A wireless technique, between the DE2 Board and the Hardware circuitry, would be more efficient to allow the robot move freely without being limited by the length of the wires. An additional sensor at the back of the robot would make the obstacle avoidance more efficient. Using a printed circuit board would reduce the size of the circuit on the proto-board. To have a better control of the system speed, encoders could be used for the DC motors. Page 34

50 References References [1] [2] [3] [4] Benzid Sofiane, Douba Mohammed Lamine, FPGA-Based Design Process of an Object Arrangement and Obstacle Avoidance Controller. Final Year Project Report in Electrical Engineering Option : Control. Institute of Electrical and Electronic Engineering, UMBB, June [5] [6] [7] SoPC Builder user Guide: [8] [9] Introduction to the Altera NIOS II soft processor user guide. [10] Introduction to the Altera SOPC Builder using VHDL Design user guide. [11] L298 H Bridge data sheet. [12] Sachin Modi, Comparison of Three Obstacle Avoidance Methods for an Autonomous Guided Vehicle. Master of Science Degree Thesis, Department of Mechanical, Industrial and Nuclear Engineering, College of Engineering, [13] [14] Page 35

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

Project Name Here CSEE 4840 Project Design Document. Thomas Chau Ben Sack Peter Tsonev

Project Name Here CSEE 4840 Project Design Document. Thomas Chau Ben Sack Peter Tsonev Project Name Here CSEE 4840 Project Design Document Thomas Chau tc2165@columbia.edu Ben Sack bs2535@columbia.edu Peter Tsonev pvt2101@columbia.edu Table of contents: Introduction Page 3 Block Diagram Page

More information

Aerial Photographic System Using an Unmanned Aerial Vehicle

Aerial Photographic System Using an Unmanned Aerial Vehicle Aerial Photographic System Using an Unmanned Aerial Vehicle Second Prize Aerial Photographic System Using an Unmanned Aerial Vehicle Institution: Participants: Instructor: Chungbuk National University

More information

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Rahul Baranwal 1, Omama Aftab 2, Mrs. Deepti Ojha 3 1,2, B.Tech Final Year (Electronics and Communication Engineering),

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Undefined Obstacle Avoidance and Path Planning

Undefined Obstacle Avoidance and Path Planning Paper ID #6116 Undefined Obstacle Avoidance and Path Planning Prof. Akram Hossain, Purdue University, Calumet (Tech) Akram Hossain is a professor in the department of Engineering Technology and director

More information

TMS320F241 DSP Boards for Power-electronics Applications

TMS320F241 DSP Boards for Power-electronics Applications TMS320F241 DSP Boards for Power-electronics Applications Kittiphan Techakittiroj, Narong Aphiratsakun, Wuttikorn Threevithayanon and Soemoe Nyun Faculty of Engineering, Assumption University Bangkok, Thailand

More information

EE 314 Spring 2003 Microprocessor Systems

EE 314 Spring 2003 Microprocessor Systems EE 314 Spring 2003 Microprocessor Systems Laboratory Project #9 Closed Loop Control Overview and Introduction This project will bring together several pieces of software and draw on knowledge gained in

More information

FPGA-based PID Controller Using SOPC Technology for DC Motor Speed Control

FPGA-based PID Controller Using SOPC Technology for DC Motor Speed Control People s Democratic Republic of Algeria Ministry of Higher Education and Scientific Research University M Hamed BOUGARA Boumerdes Institute of Electrical and Electronic Engineering Department of Electronics

More information

Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA

Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA Asma Taha Sadoon College of Engineering University of Baghdad Dina Abdul Kareem Abdul Qader College of Engineering University of Baghdad

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

Training Schedule. Robotic System Design using Arduino Platform

Training Schedule. Robotic System Design using Arduino Platform Training Schedule Robotic System Design using Arduino Platform Session - 1 Embedded System Design Basics : Scope : To introduce Embedded Systems hardware design fundamentals to students. Processor Selection

More information

Measuring Distance Using Sound

Measuring Distance Using Sound Measuring Distance Using Sound Distance can be measured in various ways: directly, using a ruler or measuring tape, or indirectly, using radio or sound waves. The indirect method measures another variable

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Mapping device with wireless communication

Mapping device with wireless communication University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 12-2011 Mapping device with wireless communication Xiangyu Liu University

More information

Unit level 5 Credit value 15. Introduction. Learning Outcomes

Unit level 5 Credit value 15. Introduction. Learning Outcomes Unit 46: Unit code Embedded Systems A/615/1514 Unit level 5 Credit value 15 Introduction An embedded system is a device or product which contains one or more tiny computers hidden inside it. This hidden

More information

HAND GESTURE CONTROLLED ROBOT USING ARDUINO

HAND GESTURE CONTROLLED ROBOT USING ARDUINO HAND GESTURE CONTROLLED ROBOT USING ARDUINO Vrushab Sakpal 1, Omkar Patil 2, Sagar Bhagat 3, Badar Shaikh 4, Prof.Poonam Patil 5 1,2,3,4,5 Department of Instrumentation Bharati Vidyapeeth C.O.E,Kharghar,Navi

More information

Programming and Interfacing

Programming and Interfacing AtmelAVR Microcontroller Primer: Programming and Interfacing Second Edition f^r**t>*-**n*c contents Preface xv AtmelAVRArchitecture Overview 1 1.1 ATmegal64 Architecture Overview 1 1.1.1 Reduced Instruction

More information

Object Detection for Collision Avoidance in ITS

Object Detection for Collision Avoidance in ITS Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2016, 3(5): 29-35 Research Article ISSN: 2394-658X Object Detection for Collision Avoidance in ITS Rupojyoti Kar

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

BASIC-Tiger Application Note No. 059 Rev Motor control with H bridges. Gunther Zielosko. 1. Introduction

BASIC-Tiger Application Note No. 059 Rev Motor control with H bridges. Gunther Zielosko. 1. Introduction Motor control with H bridges Gunther Zielosko 1. Introduction Controlling rather small DC motors using micro controllers as e.g. BASIC-Tiger are one of the more common applications of those useful helpers.

More information

CEEN Bot Lab Design A SENIOR THESIS PROPOSAL

CEEN Bot Lab Design A SENIOR THESIS PROPOSAL CEEN Bot Lab Design by Deborah Duran (EENG) Kenneth Townsend (EENG) A SENIOR THESIS PROPOSAL Presented to the Faculty of The Computer and Electronics Engineering Department In Partial Fulfillment of Requirements

More information

Automobile Prototype Servo Control

Automobile Prototype Servo Control IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 Automobile Prototype Servo Control Mr. Linford William Fernandes Don Bosco

More information

Wheeled Mobile Robot Obstacle Avoidance Using Compass and Ultrasonic

Wheeled Mobile Robot Obstacle Avoidance Using Compass and Ultrasonic Universal Journal of Control and Automation 6(1): 13-18, 2018 DOI: 10.13189/ujca.2018.060102 http://www.hrpub.org Wheeled Mobile Robot Obstacle Avoidance Using Compass and Ultrasonic Yousef Moh. Abueejela

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Motor control using FPGA

Motor control using FPGA Motor control using FPGA MOTIVATION In the previous chapter you learnt ways to interface external world signals with an FPGA. The next chapter discusses digital design and control implementation of different

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610

OBSOLETE. Bus Compatible Digital PWM Controller, IXDP 610 IXDP 610 Bus Compatible Digital PWM Controller, IXDP 610 Description The IXDP610 Digital Pulse Width Modulator (DPWM) is a programmable CMOS LSI device which accepts digital pulse width data from a microprocessor

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

An Autonomous Self- Propelled Robot Designed for Obstacle Avoidance and Fire Fighting

An Autonomous Self- Propelled Robot Designed for Obstacle Avoidance and Fire Fighting An Autonomous Self- Propelled Robot Designed for Obstacle Avoidance and Fire Fighting K. Prathyusha Assistant professor, Department of ECE, NRI Institute of Technology, Agiripalli Mandal, Krishna District,

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS

APPLICATION OF PROGRAMMABLE LOGIC DEVICES FOR ACQUISITION OF ECG SIGNAL WITH PACEMAKER PULSES 1. HISTORY OF PROGRAMMABLE CIRCUITS JOURNAL OF MEDICAL INFORMATICS & TECHNOLOGIES Vol.4/2002, ISSN 1642-6037 Leszek DREWNIOK *, Janusz ZMUDZINSKI *, Jerzy GALECKA *, Adam GACEK * programmable circuits ECG acquisition with cardiostimulator

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

A Simple Design of Clean Robot

A Simple Design of Clean Robot Journal of Computing and Electronic Information Management ISSN: 2413-1660 A Simple Design of Clean Robot Huichao Wu 1, a, Daofang Chen 2, Yunpeng Yin 3 1 College of Optoelectronic Engineering, Chongqing

More information

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 113 CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 5.1 INTRODUCTION This chapter describes hardware design and implementation of direct torque controlled induction motor drive with

More information

Total Hours Registration through Website or for further details please visit (Refer Upcoming Events Section)

Total Hours Registration through Website or for further details please visit   (Refer Upcoming Events Section) Total Hours 110-150 Registration Q R Code Registration through Website or for further details please visit http://www.rknec.edu/ (Refer Upcoming Events Section) Module 1: Basics of Microprocessor & Microcontroller

More information

A Model Based Approach for Human Recognition and Reception by Robot

A Model Based Approach for Human Recognition and Reception by Robot 16 MHz ARDUINO A Model Based Approach for Human Recognition and Reception by Robot Prof. R. Sunitha Department Of ECE, N.R.I Institute Of Technology, J.N.T University, Kakinada, India. V. Sai Krishna,

More information

Performance Analysis of Ultrasonic Mapping Device and Radar

Performance Analysis of Ultrasonic Mapping Device and Radar Volume 118 No. 17 2018, 987-997 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Performance Analysis of Ultrasonic Mapping Device and Radar Abhishek

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization)

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization) International Journal of Advanced Research in Electrical, Electronics Device Control Using Intelligent Switch Sreenivas Rao MV *, Basavanna M Associate Professor, Department of Instrumentation Technology,

More information

Introduction. Theory of Operation

Introduction. Theory of Operation Mohan Rokkam Page 1 12/15/2004 Introduction The goal of our project is to design and build an automated shopping cart that follows a shopper around. Ultrasonic waves are used due to the slower speed of

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd.

Controlling DC Brush Motor using MD10B or MD30B. Version 1.2. Aug Cytron Technologies Sdn. Bhd. PR10 Controlling DC Brush Motor using MD10B or MD30B Version 1.2 Aug 2008 Cytron Technologies Sdn. Bhd. Information contained in this publication regarding device applications and the like is intended

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Embedded Robotics. Software Development & Education Center

Embedded Robotics. Software Development & Education Center Software Development & Education Center Embedded Robotics Robotics Development with ARM µp INTRODUCTION TO ROBOTICS Types of robots Legged robots Mobile robots Autonomous robots Manual robots Robotic arm

More information

DASL 120 Introduction to Microcontrollers

DASL 120 Introduction to Microcontrollers DASL 120 Introduction to Microcontrollers Lecture 2 Introduction to 8-bit Microcontrollers Introduction to 8-bit Microcontrollers Introduction to 8-bit Microcontrollers Introduction to Atmel Atmega328

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

Solar Powered Obstacle Avoiding Robot

Solar Powered Obstacle Avoiding Robot Solar Powered Obstacle Avoiding Robot S.S. Subashka Ramesh 1, Tarun Keshri 2, Sakshi Singh 3, Aastha Sharma 4 1 Asst. professor, SRM University, Chennai, Tamil Nadu, India. 2, 3, 4 B.Tech Student, SRM

More information

AutoBench 1.1. software benchmark data book.

AutoBench 1.1. software benchmark data book. AutoBench 1.1 software benchmark data book Table of Contents Angle to Time Conversion...2 Basic Integer and Floating Point...4 Bit Manipulation...5 Cache Buster...6 CAN Remote Data Request...7 Fast Fourier

More information

DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL

DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL DSP BASED SYSTEM FOR SYNCHRONOUS GENERATOR EXCITATION CONTROLL N. Bulic *, M. Miletic ** and I.Erceg *** Faculty of electrical engineering and computing Department of Electric Machines, Drives and Automation,

More information

Implementation of Multiquadrant D.C. Drive Using Microcontroller

Implementation of Multiquadrant D.C. Drive Using Microcontroller Implementation of Multiquadrant D.C. Drive Using Microcontroller Author Seema Telang M.Tech. (IV Sem.) Department of Electrical Engineering Shri Ramdeobaba College of Engineering and Management Abstract

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

Design and Implementation of AT Mega 328 microcontroller based firing control for a tri-phase thyristor control rectifier

Design and Implementation of AT Mega 328 microcontroller based firing control for a tri-phase thyristor control rectifier Design and Implementation of AT Mega 328 microcontroller based firing control for a tri-phase thyristor control rectifier 1 Mr. Gangul M.R PG Student WIT, Solapur 2 Mr. G.P Jain Assistant Professor WIT,

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Abstract - This project utilized Eleven Engineering s XInC2 development board to control several peripheral devices to open a standard 40 digit combination

More information

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Joakim Arnsby, et04ja@student.lth.se Joakim Baltsén, et05jb4@student.lth.se Simon Nilsson, et05sn9@student.lth.se Erik Osvaldsson,

More information

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo

EECS 270: Lab 7. Real-World Interfacing with an Ultrasonic Sensor and a Servo EECS 270: Lab 7 Real-World Interfacing with an Ultrasonic Sensor and a Servo 1. Overview The purpose of this lab is to learn how to design, develop, and implement a sequential digital circuit whose purpose

More information

Development of Software Defined Radio (SDR) Receiver

Development of Software Defined Radio (SDR) Receiver Journal of Engineering and Technology of the Open University of Sri Lanka (JET-OUSL), Vol.5, No.1, 2017 Development of Software Defined Radio (SDR) Receiver M.H.M.N.D. Herath 1*, M.K. Jayananda 2, 1Department

More information

Temperature Monitoring and Fan Control with Platform Manager 2

Temperature Monitoring and Fan Control with Platform Manager 2 August 2013 Introduction Technical Note TN1278 The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

Boe-Bot robot manual

Boe-Bot robot manual Tallinn University of Technology Department of Computer Engineering Chair of Digital Systems Design Boe-Bot robot manual Priit Ruberg Erko Peterson Keijo Lass Tallinn 2016 Contents 1 Robot hardware description...3

More information

DC motor control using arduino

DC motor control using arduino DC motor control using arduino 1) Introduction: First we need to differentiate between DC motor and DC generator and where we can use it in this experiment. What is the main different between the DC-motor,

More information

ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK

ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK ECE 511: FINAL PROJECT REPORT GROUP 7 MSP430 TANK Team Members: Andrew Blanford Matthew Drummond Krishnaveni Das Dheeraj Reddy 1 Abstract: The goal of the project was to build an interactive and mobile

More information

Temperature Monitoring and Fan Control with Platform Manager 2

Temperature Monitoring and Fan Control with Platform Manager 2 Temperature Monitoring and Fan Control September 2018 Technical Note FPGA-TN-02080 Introduction Platform Manager 2 devices are fast-reacting, programmable logic based hardware management controllers. Platform

More information

MOBILE ROBOT LOCALIZATION with POSITION CONTROL

MOBILE ROBOT LOCALIZATION with POSITION CONTROL T.C. DOKUZ EYLÜL UNIVERSITY ENGINEERING FACULTY ELECTRICAL & ELECTRONICS ENGINEERING DEPARTMENT MOBILE ROBOT LOCALIZATION with POSITION CONTROL Project Report by Ayhan ŞAVKLIYILDIZ - 2011502093 Burcu YELİS

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

EMBEDDED SYSTEM DESIGN FOR A DIGITAL MULTIMETER USING MOTOROLA HCS12 MICROCONTROLLER

EMBEDDED SYSTEM DESIGN FOR A DIGITAL MULTIMETER USING MOTOROLA HCS12 MICROCONTROLLER EMBEDDED SYSTEM DESIGN FOR A DIGITAL MULTIMETER USING MOTOROLA HCS12 MICROCONTROLLER A Thesis Submitted in partial Fulfillment Of the Requirements of the Degree of Bachelor of Technology In Electronics

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Cerebot Reference Manual Revision: February 9, 2009 Note: This document applies to REV B-E of the board. www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System

Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System Thae Su Aye, and Zaw Myo Lwin Abstract In the air conditioning system, the electric expansion valve (EEV) is one of

More information

Putting It All Together: Computer Architecture and the Digital Camera

Putting It All Together: Computer Architecture and the Digital Camera 461 Putting It All Together: Computer Architecture and the Digital Camera This book covers many topics in circuit analysis and design, so it is only natural to wonder how they all fit together and how

More information

Peripheral Link Driver for ADSP In Embedded Control Application

Peripheral Link Driver for ADSP In Embedded Control Application Peripheral Link Driver for ADSP-21992 In Embedded Control Application Hany Ferdinando Jurusan Teknik Elektro Universitas Kristen Petra Siwalankerto 121-131 Surabaya 60236 Phone: +62 31 8494830, fax: +62

More information

Space Research expeditions and open space work. Education & Research Teaching and laboratory facilities. Medical Assistance for people

Space Research expeditions and open space work. Education & Research Teaching and laboratory facilities. Medical Assistance for people Space Research expeditions and open space work Education & Research Teaching and laboratory facilities. Medical Assistance for people Safety Life saving activity, guarding Military Use to execute missions

More information

Triscend E5 Support. Configurable System-on-Chip (CSoC) Triscend Development Tools Update TM

Triscend E5 Support.   Configurable System-on-Chip (CSoC) Triscend Development Tools Update TM www.keil.com Triscend Development Tools Update TM Triscend E5 Support The Triscend E5 family of Configurable System-on-Chip (CSoC) devices is based on a performance accelerated 8-bit 8051 microcontroller.

More information

Design of double loop-locked system for brush-less DC motor based on DSP

Design of double loop-locked system for brush-less DC motor based on DSP International Conference on Advanced Electronic Science and Technology (AEST 2016) Design of double loop-locked system for brush-less DC motor based on DSP Yunhong Zheng 1, a 2, Ziqiang Hua and Li Ma 3

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

Cleaning Robot Working at Height Final. Fan-Qi XU*

Cleaning Robot Working at Height Final. Fan-Qi XU* Proceedings of the 3rd International Conference on Material Engineering and Application (ICMEA 2016) Cleaning Robot Working at Height Final Fan-Qi XU* International School, Beijing University of Posts

More information

Distance Measurement of an Object by using Ultrasonic Sensors with Arduino and GSM Module

Distance Measurement of an Object by using Ultrasonic Sensors with Arduino and GSM Module IJSTE - International Journal of Science Technology & Engineering Volume 4 Issue 11 May 2018 ISSN (online): 2349-784X Distance Measurement of an Object by using Ultrasonic Sensors with Arduino and GSM

More information

Design of an electronic platform based on FPGA-DSP for motion control applications

Design of an electronic platform based on FPGA-DSP for motion control applications Design of an electronic platform based on FPGA-DSP for motion control applications Carlos Torres-Hernandez, Juvenal Rodriguez-Resendiz, Universidad Autónoma de Querétaro Cerro de Las Campanas, s/n, Las

More information

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which

Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which Hello, and welcome to this presentation of the STM32 Digital Filter for Sigma-Delta modulators interface. The features of this interface, which behaves like ADC with external analog part and configurable

More information

Imaging serial interface ROM

Imaging serial interface ROM Page 1 of 6 ( 3 of 32 ) United States Patent Application 20070024904 Kind Code A1 Baer; Richard L. ; et al. February 1, 2007 Imaging serial interface ROM Abstract Imaging serial interface ROM (ISIROM).

More information

I. INTRODUCTION MAIN BLOCKS OF ROBOT

I. INTRODUCTION MAIN BLOCKS OF ROBOT Stair-Climbing Robot for Rescue Applications Prof. Pragati.D.Pawar 1, Prof. Ragini.D.Patmase 2, Mr. Swapnil.A.Kondekar 3, Mr. Nikhil.D.Andhare 4 1,2 Department of EXTC, 3,4 Final year EXTC, J.D.I.E.T Yavatmal,Maharashtra,

More information

LDOR: Laser Directed Object Retrieving Robot. Final Report

LDOR: Laser Directed Object Retrieving Robot. Final Report University of Florida Department of Electrical and Computer Engineering EEL 5666 Intelligent Machines Design Laboratory LDOR: Laser Directed Object Retrieving Robot Final Report 4/22/08 Mike Arms TA: Mike

More information

Using Z8 Encore! XP MCU for RMS Calculation

Using Z8 Encore! XP MCU for RMS Calculation Application te Using Z8 Encore! XP MCU for RMS Calculation Abstract This application note discusses an algorithm for computing the Root Mean Square (RMS) value of a sinusoidal AC input signal using the

More information

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Recommended Due Date: By your lab time the week of February 12 th Possible Points: If checked off before

More information

Design Document. Embedded System Design CSEE Spring 2012 Semester. Academic supervisor: Professor Stephen Edwards

Design Document. Embedded System Design CSEE Spring 2012 Semester. Academic supervisor: Professor Stephen Edwards THE AWESOME GUITAR GAME Design Document Embedded System Design CSEE 4840 Spring 2012 Semester Academic supervisor: Professor Stephen Edwards Laurent Charignon (lc2817) Imré Frotier de la Messelière (imf2108)

More information

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS O. Ranganathan 1, *Abdul Imran Rasheed 2 1- M.Sc [Engg.] student, 2-Assistant Professor Department

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

VLSI Implementation of Image Processing Algorithms on FPGA

VLSI Implementation of Image Processing Algorithms on FPGA International Journal of Electronic and Electrical Engineering. ISSN 0974-2174 Volume 3, Number 3 (2010), pp. 139--145 International Research Publication House http://www.irphouse.com VLSI Implementation

More information

Master Thesis Presentation Future Electric Vehicle on Lego By Karan Savant. Guide: Dr. Kai Huang

Master Thesis Presentation Future Electric Vehicle on Lego By Karan Savant. Guide: Dr. Kai Huang Master Thesis Presentation Future Electric Vehicle on Lego By Karan Savant Guide: Dr. Kai Huang Overview Objective Lego Car Wifi Interface to Lego Car Lego Car FPGA System Android Application Conclusion

More information

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta

Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Web-Enabled Speaker and Equalizer Final Project Report December 9, 2016 E155 Josh Lam and Tommy Berrueta Abstract IoT devices are often hailed as the future of technology, where everything is connected.

More information

ECE 511: MICROPROCESSORS

ECE 511: MICROPROCESSORS ECE 511: MICROPROCESSORS A project report on SNIFFING DOG Under the guidance of Prof. Jens Peter Kaps By, Preethi Santhanam (G00767634) Ranjit Mandavalli (G00819673) Shaswath Raghavan (G00776950) Swathi

More information