FPGA-based PID Controller Using SOPC Technology for DC Motor Speed Control

Size: px
Start display at page:

Download "FPGA-based PID Controller Using SOPC Technology for DC Motor Speed Control"

Transcription

1 People s Democratic Republic of Algeria Ministry of Higher Education and Scientific Research University M Hamed BOUGARA Boumerdes Institute of Electrical and Electronic Engineering Department of Electronics Final Year Project Report Presented in Partial Fulfilment of the Requirements for the Degree of MASTER In Electrical and Electronic Engineering Option: Computer Engineering Title: FPGA-based PID Controller Using SOPC Technology for DC Motor Speed Control Presented by: - OUAZENE Ferhat - ARKOUB Syfax Supervisor: Dr. MAACHE Ahmed Registration Number:.../2015

2 Dedications I dedicate this little project to the memory of my father (May his soul rest in peace), La Mamiche To my brothers and my unique sister including all my little nephews and nieces. I also want to thank my family and all my dear friends, especially the Salahifs and Chniq teams. Ferhat I

3 I dedicate this work to My beloved parents, My brothers, my family and all my friends especially Salahifs & Tiger Prod, I will never forget all their support. All who know me, encourage me, and all who were behind my career Syfax II

4 Acknowledgement First of all, this kind of work is never the product of one person s effort. Therefore, we would like to express our deepest gratitude to all those who were supportive and helped us achieve this work, All our teachers who have been there for five years especially Mr. Maache for his guidance and who has been enduring along the way. III

5 ABSTRACT This project is about designing and implementing a DC motor speed controller using Proportional Integral Derivative (PID) algorithm. The controller was implemented on a Field Programmable Gate Array (FPGA) based on System-On- Programmable-Chip (SOPC) technology. The main objective of this project is to control the speed of DC motor to reach a set point. The PID controller attempts to correct the error between a measured process variable and the desired set point by calculating and then outputting a corrective action that can adjust the process accordingly using Pulse Width Modulation (PWM) technique. The system was divided into hardware and software sections. SOPC Builder has been used to design and implement the system-on-chip hardware system that goes inside the FPGA (DE2 Board). The latter employs a NIOS II processor linked to a PWM hardware block. The processor calculates the error between the current and set point speeds and generates a PWM output signal with a specific duty cycle using C software. Finally, the PID controller successfully achieved its target by driving the motor to any set point with an average steady state error of 3.25% and a settling time of seconds. IV

6 Table of Contents Dedications... I Acknowledgement... III Abstract... IV Table of Contents... V List of Figures... VII List of Tables... IX INTRODUCTION... 1 Chapter 1: THEORETICAL BACKGROUND 1.1 Introduction to FPGA FPGA basics FPGA based control system Advantages of FPGA The Altera DE2 development board Features Altera Quartus II NIOS II Embedded System Altera s SOPC builder Controller Design Overview The PID Controller Pulse Width Modulation H-Bridge Chapter 2: The DC MOTOR 2.1 Introduction DC motor Principle of Operation Speed control of a DC motor Our DC Motor System transfer function PID Controller parameters V

7 Chapter 3: HARDWARE & SOFTWARE DESIGN 3.1 Hardware Design On-chip Hardware Design SOPC System VHDL Hardware Blocks ADC block Seven Segment display block Clock Dividers PWM block Off-Chip Hardware Design Software Design PID Algorithm Chapter 4: SIMULATION & IMPLEMENTATION RESULTS 4.1 Simulation Results Simulink Model Implementation Results Open loop results Closed loop results Chapter 5: CONCLUSION 5.1 Conclusion Future Work APPENDIX A VI

8 List of Figures Figure 1.1: Digital Logic Technologies... 3 Figure 1.2: Internal structure of a typical FPGA... 3 Figure 1.3: The Altera DE2 features... 5 Figure 1.4: A NIOS II system implemented on the DE2 board... 7 Figure 1.5: Altera SOPC Builder... 8 Figure 1.6: Block diagram of the complete system... 9 Figure 1.7: Digital PID Controller... 9 Figure 1.8: Closed-loop PID controller Figure 1.9: PWM output at a 10%, 50%, and 90% duty cycles Figure 1.10: The H-Bridge circuit Figure 2.1: DC motor components Figure 2.2: Part of a Multi-polar DC Motor Figure 2.3: The DC motor used in the project Figure 2.4: Schematic representation of the considered DC Motor Figure 2.5: The motor response for a 10V step input Figure 2.6: Output voltage measurement connection diagram Figure 2.7: The plot of the output voltage versus the input voltage Figure 2.8: The plot of angular speed in RPMs versus the output voltage Figure 2.9: The performance and robustness parameters set by PIDtool Figure 2.10: The tuned step response using Matlab Figure 2.11: The corresponding PID controller parameters Figure 3.1: NIOS II System Block using the Altera SOPC builder Figure 3.2: The ADC block Figure 3.3: The Seven Segment display Block Figure 3.4: The Clock Divider block for Seven Segment Figure 3.5: PWM System Schematic Figure 3.6: The PWM block Figure 3.7: The PWM State Diagram Figure 3.8: On-Chip Hardware Circuit VII

9 Figure 3.9: Complete Hardware System Figure 3.10: The software flow diagram of the PID Algorithm Figure 4.1: PID Speed Controller Simulink Model Figure 4.2: Open/closed loop system s step response Figure 4.3: Experimental setup of the system Figure 4.4: Measured Speed (rpm) versus Time (sec) Figure 4.5: Calculated speed error (% of set speed) versus Time (sec) VIII

10 List of Tables Table 2.1: The output values corresponding to the interval {0.166; 0.996} Table 2.2: The motor s output voltage versus the input voltage Table 2.3: The output voltage versus the angular speed in RPMs Table 4.1: Implementation results on open loop system Table 4.2: Implementation results on closed loop system IX

11 INTRODUCTION One of the ways of designing a digital speed control system for DC motor is based on software. In order to run that software microprocessor, PLC, DSP can be used. These mentioned software based techniques employ memory processor interaction. The implementation of speed controlling using microprocessor and DSPs is old and well known. When the system size and complexity increases; Application Specific Integrated Circuits are utilized. The ASIC must be fabricated on a manufacturing line, a process that takes several months, before it can be used or even tested. FPGAs provide an alternative way to quickly prototype hardware controllers using hardware blocks or soft/hard processors. FPGAs are configurable ICs used to implement logic functions. Early generation of FPGAs were most often used as glue logic, which is the logic needed to connect the major components of a system. They were often used in prototypes because they could be programmed and inserted into the board in few minutes. In order to control the speed of a DC motor, a Pulse Width Modulation signal applied to the H-bridge, which in turn control the power delivered to the motor. When the duty cycle is set to 100%, the motor will be running at full speed. The speed of the motor will decrease according to the PWM duty cycle. In order to monitor the actual rotation speed, a taco-generator is used. The taco generates a voltage output signal that is connected to an input-capture subsystem programmed on the FPGA board via an ADC converter. The microprocessor SOPC does the necessary calculations in order to determine the speed of the motor. Without compensation, the system described above is an open-loop controller. This means that the system will not be very accurate and be susceptible to disturbances. In order to correct this, a closed-loop control system needs to be programmed. A closed-loop control system monitors the process output (speed in this project) and actively adjusts the PWM signal to reduce or eliminate error. This control system can be implemented using the classical Proportional-Integral-Derivative (PID) controller due to its simplicity, robustness, effectiveness and applicability for a broad class of systems. 1

12 It is estimated that PID controllers are still employed in more than 95% of industrial processes [1]. The PID is used extensively in the field of servo motor control, robotics, temperature control, and power electronics. It has a long history of development and very mature tuning rules. Overall, the PID is an important tool for the embedded real time digital control designer. They are usually implemented either in hardware using analog components or in software using computer-based systems. In this project, NIOS II Embedded Design Suites were used to create the C-based controller, which is programmed into the DE2 Board. The emergence of FPGAs and hardware description languages (HDL) allows for added dimensions of digital PID controllers, parallelism, and programmable bit widths [2]. Today high end FPGAs can hold several millions gates and have a flexible architecture, which allows the implementation of many complex systems that requires parallel execution. These systems may range from pattern recognition to security algorithms. The software developed on a NIOS II processor provides the user interface through on board peripherals like switches and seven segment displays, so that the user can change the set speed of the motor as well view the data display on seven segment display. The organization of this report is given as follows: In Chapter 1, a theoretical background is introduced. In Chapter 2, an introduction of DC motor is given. In Chapter 3, functional modules of an FPGA based PID controller for DC motor speed control system are explained. In section 4, the implementation and experimental results are discussed. Conclusions and future work are described in the last part. 2

13 Chapter one Theoretical Background

14 Chapter 1 THEORETICAL BACKGROUND 1.1 Introduction to FPGA: FPGA basics: Field Programmable Gate Array (FPGA) can be considered as an array of Configurable Logic Blocks (CLBs) that can be connected together through a vast interconnection matrix to form complex digital circuits [3]. It is an important part of the digital reconfigurable technology as shown in Figure 1.1. Figure 1.1: Digital Logic Technologies [4] Figure 1.2 shows a basic FPGA internal architecture: Figure 1..2: Internal structure of a typical FPGA [3] With current high-end devices exceeding 2000 pins and topping billions of transistors, the complexity of these devices is such that it would be impossible to program them without the assistance of high-level design tools. 3

15 Chapter 1 THEORETICAL BACKGROUND FPGAs have traditionally found use in high-speed custom digital applications where designs tend to be more constrained by performance rather than cost. This has led to the more recent widespread use of FPGAs in common embedded applications [3] FPGA based control system: Modern FPGA devices offer a multitude of resources. Nowadays, the whole complex digital system can reside into the FPGA, leading to the concept of System On a Programmable Chip (SOPC). However, the main advantage FPGAs offer is the possibility to implement algorithms directly into hardware, maintaining the parallelism of the algorithm in the implementation and thus minimizing the execution time. Consequently, the FPGA utilization in industrial control applications became the subject of intensive research [5] Advantages of FPGA: An FPGA is a digital IC that can be programmed to do any type of digital function. There are two main advantages of an FPGA over a microprocessor chip for controller applications: New FPGAs can implement very large hardware designs (VHDL, Verilog, and Schematic) with the ability of being reconfigurable again. They also support hard/soft processors inside them in addition to other hardware peripherals, which are provided as Intellectual Property (IP) blocks to form what is known as System on Programmable Chip (SOPC).These IP blocks are added only if they are needed in the system. The embedded processors are then programmed in Assembly or C like any other microcontroller. FPGAs are programmed using support software and a download cable connected to a computer. Once they are programmed, they can be disconnected from the computer and will retain their functionality until the power is removed from the chip. 4

16 Chapter 1 THEORETICAL BACKGROUND 1.2 The Altera DE2 development board: Features: The DE2 development board contains the following features [6] (see Figure1.3): Altera Cyclone II 2C35 FPGA device with logic elements 512-Kbyte SRAM 8-Mbyte SDRAM 4 pushbutton switches 18 toggle switches 18 red user LEDs 9 green user LEDs 50-MHz oscillator and 27-MHz oscillator for clock sources Two 40-pin Expansion Headers with diode protection Figure 1.3: The Altera DE2 features [6] 5

17 Chapter 1 THEORETICAL BACKGROUND 1.3 Altera Quartus II: Altera Quartus II is the software used to create projects for analysis of hardware designs with the following features: VHDL and Verilog hardware description language (HDL) input Block schematic entry Pin assignment editor Programmer tool Mega functions IP design blocks SOPC Builder Projects can have of a mixture of Verilog and VHDL. For this project, VHDL is used due to our familiarity with this language. Quartus II comes with a range of building blocks of pre-made HDL design blocks referred to as IP cores. Quartus II 9.1sp2 web edition software is used throughout this project. 1.4 NIOS II Embedded System: Altera s NIOS II is a 32-bit soft processor defined in HDL, which can be implemented in Altera s FPGAs using Quartus II. To build a useful system it is necessary to add other functional units such as memories, input/output interfaces, timers, and communications interfaces using Altera s SOPC builder. The NIOS II processor and the interfaces needed to connect to other chips on the DE2 board are implemented in the Cyclone II chip. These components are interconnected through an interconnection network called the Avalon Bus. The memory blocks can be used to provide an on-chip memory for the NIOS II processor. The on board SRAM, SDRAM and Flash memory chips are accessed through their appropriate interfaces. Parallel and serial input/output interfaces provide typical I/O ports used in computer systems. A special JTAG UART interface module is used to connect to the host computer through USB. A JTAG Debug module is used to debug the NIOS II system by downloading programs, starting/stopping execution, setting breakpoints, and collecting real-time execution data. A typical NIOS II system is shown in Figure 1.4: 6

18 Chapter 1 THEORETICAL BACKGROUND Figure 1.4: A NIOS II system implemented on the DE2 board [7] 1.5 Altera s SOPC builder: SOPC builder is a tool used in conjunction with the Quartus II software to implement a system that uses the NIOS II processor on an Altera FPGA device. 7

19 Chapter 1 THEORETICAL BACKGROUND Figure 1.5: Altera SOPC Builder [8] SOPC builder has a library of common components and IP blocks including memory controllers, interfaces and peripherals. It allows the user to easily create a system based on the NIOS II processor, by simply selecting the desired functional units and specifying their parameters from the library and are automatically connected through the Avalon bus interconnect fabric. These parameters include things like: bit width, processor speed/features, memory size, etc 1.6 Controller Design Overview: The aim of this project is to implement a digital Proportional-Integral- Derivative (PID) speed controller for an existing DC motor using an FPGA. This system is implemented as a closed-loop controller. The motor will be driven using a PWM signal, which is used to test the performance of the controller. Figure 1.6 shows the block diagram of the complete system: 8

20 Chapter 1 THEORETICAL BACKGROUND Figure 1.6: Block diagram of the complete system The PID Controller: PID Control is a feedback algorithm that is used in control systems. It utilizes a control loop to update the control algorithm to minimize the error between the desired and the measured point of interest for different applications [9]. There are several conventional and numeric controller types like Proportional Integral (PI), Proportional Integral Derivative (PID) and Fuzzy Logic Controller (FLC). In industry, 95% of controllers are PID type because of its simplicity, applicability and ease of use [10]. At the same time PID controller has some disadvantages namely; the undesirable speed overshoot and the sluggish response due to sudden change in load torque and the sensitivity to controller gains K I and K P. The performance of this controller depends on the accuracy of system models and parameters. Figure 1.7: Digital PID Controller 9

21 Chapter 1 THEORETICAL BACKGROUND The general transfer function of the PID controller output looks like the following: = + + (1.1) Where: E: Error signal Kp: Proportional Constant Ki: Integral Constant Kd: Derivative Constant Figure 1.7 shows a closed-loop PID speed controller. P Reference Speed + _ E I U PWM Motor System Y Measured Speed D Feedback Sensor 1.7 Pulse Width Modulation: Figure 1.8: Closed-loop PID controller PWM signals are pulse trains with fixed frequency and magnitude. However, the width of the pulses (duty cycle) changes from pulse to pulse according to a modulating signal. The PWM signal is still digital because, at any given instant of time, the full DC supply is either fully ON or fully OFF. The voltage or current source is supplied to the analog load by means of a repeating series of on and off pulses. Figure 1.8 shows three different PWM signals with PWM output at a 10%, 50%, and 90% duty cycles, respectively. These three PWM outputs encode three different analog signal values, at 10%, 50%, and 90% of the full strength of the DC voltage [11]. 10

22 Chapter 1 THEORETICAL BACKGROUND 1.8 H-Bridge Figure 1.9: PWM output at a 10%, 50%, and 90% duty cycles [11] The H-Bridge is a very practical way to use when driving a motor. It is named so because it has four switching elements at the "corners" of the H and the motor forms the cross bar. To power the motor, we turn ON two switches that are diagonally opposed. In figure 1.9, imagine that the high side left and low side right switches are turned ON. The current flow is shown in green [12]. Figure 1.10: The H-Bridge circuit [12] The H-Bridge chosen to work with in this project is the L293D H-Bridge [13]. (See Appendix A Figure A.1) 11

23 Chapter two DC Motor

24 Chapter 2 DC MOTOR 2.1 Introduction: Motors are very popular devices that are used in all houses, computers and cars. The principle of controlling motors is not different from AC motors to DC motors. DC motors are rarely used in ordinary applications because all electric supply companies provide alternating current. However, for special applications such as in mines and electric trains, it is advantageous to convert alternating current into direct current in order to use DC motors [14]. The reason is that the speed/torque characteristics of DC motors are much more superior to that of AC motors. Therefore, it is not surprising to note that for industrial drives, DC motors are as popular as threephase induction motors. 2.2 DC motor: The DC motor uses the principles of magnetism to turn. It contains two magnets surrounding a large coil of wire. When electric current flows through the wire, it creates a magnetic field that fights against the surrounding magnets. Thus the motor turns. Figure 2.1: DC motor components [15] Principle of Operation: In any electric motor, operation is based on simple electromagnetism. A currentcarrying conductor generates a magnetic field; when this is placed in an external magnetic field, it will experience a force proportional to the current in the conductor, and to the strength of the external magnetic field. Opposite (North and South) 12

25 Chapter 2 DC MOTOR polarities attract, while like polarities (North and North, South and South) repel. The internal configuration of a DC motor is designed to harness the magnetic interaction between a current-carrying conductor and an external magnetic field to generate rotational motion. Figure 2.2: Part of a Multi-polar DC Motor [14] Speed control of a DC motor: There are four ways to vary the speed of DC motors: By using mechanical gears to achieve the desired speed. This method is generally beyond the capability of most home workshops. Reducing the motor voltage with a series resistor. However, this is inefficient (energy wasted in resistor) and reduces torque. Using a transistor to continuously vary the voltage to the motor. This works well but a substantial amount of heat is dissipated in the power transistor. By applying the full supply voltage to the motor in bursts or pulses, eliminating the voltage losses in the series resistor or transistor. This is called Pulse Width Modulation (PWM) and it is the method to be implemented in this project. It is a very efficient way of providing intermediate amounts of electrical power between fully ON and fully OFF. Short pulses means the motor runs slowly; longer pulses make the motor run faster. 13

26 Chapter 2 DC MOTOR 2.3 Our DC Motor: DC motor used in this project is shown in Figure2.3. Figure2.4 represents the electric circuit of the armature and the free body diagram of the rotor in DC motor. Figure 2.3: The DC motor used in this project Figure 2.4: Schematic representation of the considered DC Motor [17] Unfortunately, this kind of motors is sold without its datasheet and we could not find it on the Internet either since there was no reference number on it. Consequently, a system identification technique is used to get the motor s transfer function System transfer function: A step input of 10V was applied to the motor. Its corresponding response is displayed in Figure

27 Chapter 2 DC MOTOR Figure 2.5: The motor response for a 10V step input From the step response, it can be seen that it is a first order system that has a general transfer function of the form: Where: H s = Kg τs+1 Kg: is the voltage gain 2.1 τ: is the time where the output voltage reaches 63% of its steady state value. Now we take the results shown by this graph between (0.166) to (0.996) sec to find τ in the following table: 15

28 Chapter 2 DC MOTOR Time (sec) V out (V) 0,166 0, ,167 0, ,168 0, ,169 0, ,17 0, ,171 0, ,172 0, ,173 0, ,174 0, ,175 0, ,176 0, ,177 0, ,178 0, ,179 0, ,18 0, ,181 0, ,182 1, ,183 1,14525 Time (sec) V out (V) 0,184 1, ,185 1, ,186 1, ,187 1,4159 0,188 1, ,189 1, ,19 1, ,191 1, ,192 1, ,193 1, ,194 1,8566 0,195 1,8808 0,196 1, ,197 1, ,198 1, ,199 1, ,996 2,71951 Table2.1: The output values corresponding to the interval {0.166; 0.996} By taking a close look at the graph, it can be noticed that there is a remarkable time delay before the output starts rising. This is taken as time T 0 whose value is given in the table above: T 0 = sec Another look to the graph shows the output voltage steady state distinguished by a late time which gives an almost steady value as shown in the table: At time t = 0.996sec, the output voltage V out (steady state) = V Up to now, we can calculate the 63% value of the steady state voltage and then deduce the value of τ from the above table: 16

29 Chapter 2 DC MOTOR V out (63%) = V out (steady state) * 0.63 (2.2) = * 0.63 V out (63%) = 1.72 V From the table, it can be seen that the time when the output voltage equals V out (63%) is: T = sec Due to the time delay in the beginning of the graph, we can find the exact time to rise from 0% to 63% of the steady state τ by subtracting that delay from T: τ = T - T 0 (2.3) = τ = sec = 25 msec Up to now, the only unknown parameter in the DC motor s transfer function is Kg, which represents the voltage gain. First, several measurements were performed of the motor s output voltage (representing its speed) by applying a step input signal of different amplitude each time as seen in Figure 2.6. Figure 2.6: Output voltage measurement connection diagram Both the input and output voltages were measured in Volts. The results obtained from this experiment are shown next: Vin (V) Vout (V) 0 0 0,39 0,67 0,98 1,21 1,48 1,78 2,16 2,44 2,77 3,04 3,32 Table2.2: The motor s output voltage versus the input voltage 17

30 Chapter 2 DC MOTOR The following Figure shows a graphical plot of the output voltage versus the input voltage: Figure 2.7: The plot of the output voltage versus the input voltage From the above graph, it can clearly be seen that the output voltage signal is proportional to the input voltage signal. This means that the slope of this graph representation is the gain of our DC motor:. Kg= Vout Vin =. Vout Vin Kg = Finally, the transfer function of the DC motor used in this project is: H s = s Simultaneously with the previous measurements, we also measured the angular speed of the motor for each input in RPMs (Revolutions Per Minute) which is also expected to be proportional to the output voltage since it is the Tachometer s representation of the motor s speed. The results are shown in the table (2.3). 18

31 Chapter 2 DC MOTOR Vout (V) 0 0 0,39 0,67 0,98 1,21 1,48 1,78 2,16 2,44 2,77 3,04 3,32 W(RPMs) Table 2.3: The output voltage versus the angular speed in RPMs The following Figure shows a plot of the angular speed versus the output voltage: 3000 Measured Speed (RPM) ,39 0,67 0,98 1,21 1,48 1,78 2,16 2,44 2,77 3,04 3,32 Output voltage (V) Figure 2.8: The plot of angular speed in RPMs versus the output voltage As a result, the above graph is almost a straight line. The angular speed is proportional to the output voltage just as it was expected to be. The relationship between these two values Vout and W is given by the following equation: Vout = K W (2.6) Where: Vout: is the output voltage motor. K: is speed constant. W: is the angular speed of the motor in RPMs. The speed constant K can easily be calculated as shown below:. K= Vout W = Vout W K= (V/rpm)

32 Chapter 2 DC MOTOR PID Controller Parameters: After finding the transfer function of the motor, Matlab is used to get the PID controller parameters in order to control the speed of the motor. This was performed using PIDtool, which allows tuning the system response into the desired performance and robustness parameters. These include an overshoot of around 5% and a reasonable rise and settling times as follows: Figure 2.9: The performance and robustness parameters set by PIDtool Figure 2.10 shows the tuned step response to fit the above desired performances parameters. Figure 2.10: The tuned step response using Matlab 20

33 Chapter 2 DC MOTOR When the desired performance requirements were satisfied, the PID controller parameter values are obtained using PIDtool as shown below: Figure 2.11: The corresponding PID Controller parameters 21

34 Chapter three Hardware & Software design

35 Chapter 3 HARDWARE AND SOFTWARE DESIGN 3.1 Hardware Design On-chip Hardware Design: SOPC System: The SOPC builder is used to design the PID System needed in our project. This system is shown in Figure 3.1 bellow: Figure 3.1: NIOS II System Block using the Altera SOPC builder To implement the previous system, the following functional units are instantiated: - NIOS II processor, standard 32-bit - On-chip memory: 32-Kbyte memory arranged in 32-bit words inside the Cyclone II chip - Three parallel I/O interfaces: Two for the input Speeds and one output for the PWM. - JTAG UART interface for communication with the host computer To achieve the desired operation, the NIOS II processor executes a program stored in the on-chip memory. Continuous operation is required, such that as the input switches are changed the output PWM data changes accordingly. Next, the Cyclone II pins are assigned to realize the connections between the parallel interfaces and the actual I/O devices. Then, the FPGA is configured with the designed system. Finally, the NIOS II IDE is used to write, compile, download, and execute the PID C program. In short, the project workflow consisted of performing the following tasks: 22

36 Chapter 3 HARDWARE AND SOFTWARE DESIGN Using the SOPC Builder to design a NIOS II-based system Integrating the designed NIOS II system into a Quartus II project Implementing the designed system on the DE2 board Running the C application program that implement the PID controller on the NIOS II processor VHDL Hardware Blocks: The rest of the hardware blocks inside the FPGA are described in VHDL, and implemented on the FPGA using Quartus II 9.1sp2. This should allow the user to input a desired speed (in RPMs) and be able to monitor the actual speed of the DC motor. During the design process, we designed and created a number of blocks to ease and simplify the system integration task ADC block: The ADC block s role is to control the ADC hardware chip by starting the conversion of the analog speed of the motor to an 8-bit digital data. Then, this block reads the digital value and simultaneously feed it to the seven-segment display block and the PID block. As seen in Figure 3.2, the ADC block has the following signals: ADC_clk is the sampling rate (the frequency at which the ADC does the conversion). EOC (End of Conversion) pulse allows the processor to read the new converted data. It goes high at the end of the conversion. This enables the START signal to receive another data. Figure 3.2: The ADC block 23

37 Chapter 3 HARDWARE AND SOFTWARE DESIGN Seven Segment display block: The main purpose of this block is to display the measured speed of the motor in RPMs as a 4-digit decimal number. The data to display is supplied by the ADC block and goes from 0000 to 2550 RPMs. Figure 3.3: The Seven Segment display Block Clock Dividers: In this project, we mainly worked with the 50MHz internal clock of the FPGA. So whenever a lower frequency is needed, a clock divider is used. It consists of counters to bring down the frequency value to the desired one. This is mainly needed for the seven segment display block, which needs a lower frequency so that the human eye can observe the results being displayed. Figure 3.4: The Clock Divider block for Seven Segment 24

38 Chapter 3 HARDWARE AND SOFTWARE DESIGN PWM block: In this project, a pulse width modulation device (PWMD) is implemented within the FPGA to control the speed of a DC motor. Figure 3.5: PWM System Schematic The modulating signal is in 8-bit digital format. With 50 MHz system clock, a minimum pulse width of 20 ns for the clock period is obtained. Therefore, 20x256=5120 ns is the period necessary to represent all 256 levels of the signal. Hence, the maximum PWM frequency is about 195 KHz. Therefore, in order to generate a PWM signal at this frequency for an 8-bit command signal, the data inside the internal counter of the PWM is compared with the command signal. If the command signal is larger, the PWM output is set to one; otherwise, it is set to zero. Figure 3.6: The PWM block The PWM block is implemented in VHDL. It takes the command data from the PID output and generates a set of pulses to enable the H-Bridge driving the motor. The duty cycle of those pulses depends on the data received from the PID. The comparator compares between the command signal and the internal counter data. If the latter is less than the PID command 25

39 Chapter 3 HARDWARE AND SOFTWARE DESIGN the output will be 1, otherwise it will be 0. In the following Figure, the generation of PWM signal is illustrated as a state flow diagram. Start Initialize PWM internal Counter Read PID command Increment Counter Is Counter > PID Command? No Yes Reset PWM output Set PWM output Send out PWM output End Figure 3.7: The PWM State Diagram All the above hardware blocks are then integrated together to give the On-Chip Hardware subsystem in one Quartus II project. Figure 3.8 illustrates the interconnections between the different blocks 26

40 Chapter 3 HARDWARE AND SOFTWARE DESIGN Figure 3.8: On-Chip Hardware Circuit 27

41 Chapter 3 HARDWARE AND SOFTWARE DESIGN Off-Chip Hardware Design: To be able to implement analog to digital conversion of the measured speed corresponding to the voltage generated by the taco-generator, the ADC0808 [18] 8-bit A/D converter is used (See Appendix A Figure A.2). We have designed a circuit and programmed the chip so that when the analog signal is given as input, the equivalent digital value is sent to the FPGA development board via the GPIO ports. Since the ADC it is an 8-bit converter, Vref (+) = 3.30V and Vref (-) is connected to ground: 0V is represented by (0 in decimal) 3.30V is represented by (255 in decimal) maximum speed. To convert a value of speed X (in volts) to digital D, the following formula is used: = 255 (3.1) 3.30 The motor speed is varying from 0 to around 2560 RPM and the digital data is varying from 0 to 255. Hence, in order to display the speed value, we multiplied whatever measured speed by a factor of 10and then displayed it accordingly. Finally, all the hardware chips are placed on the breadboard and connected to the FPGA according to the schematic shown in Figure

42 Chapter 3 HARDWARE AND SOFTWARE DESIGN Figure 3.9: Complete Hardware System 29

43 Chapter 3 HARDWARE AND SOFTWARE DESIGN 3.2 Software Design: PID Algorithm: The PID parameter values were identified in Chapter (2). P depends on the present error, I on the accumulation of past error, and D is a prediction of future error, based on current rate of change. Once the current speed is measured, this value is subtracted with the set speed value, which is set using the switches, to calculate the tracking error. The weighted sum of these three actions is used to compute the PWM command signal to adjust the process. A new measured value of the speed will be obtained. This new output will be sent back to the controller again to find the new error signal (E). The controller takes this new error signal and computes its derivative and integral again, this process goes on and on until the error signal (E) is almost equal to zero. The above process is implemented in C code and executed on the NIOS II processor in the FPGA. Figure 3.10 shows the software flow diagram of the PID Controller Algorithm: 30

44 Chapter 3 HARDWARE AND SOFTWARE DESIGN Start Initialize all the signals (Kp, Ki, Kd, error, pre_error, output, epsilon, dt, integral I, derivative D, old_output) Get the input for PID Capture old error and old output Calculate error Calculate P, I &D outputs Calculate the new output Output<Min Check Output Output>Max Output = Min Min<output<Max Output = Max Send output to port (PWM Signal) End Figure 3.10: The software flow diagram of the PID Algorithm 31

45 Chapter Four Simulation & Implementation Results

46 Chapter 4 SIMULATION & IMPLEMENTATION RESULTS 4.1 Simulation Results: Simulink Model: Simulink was used during this work in order to simulate PID controller on the motor model obtained earlier. The Simulink model is shown in Figure 4.1: Figure 4.1: PID Speed Controller Simulink Model The previous graph contains two systems: an open loop system and a closed loop system using PID. The scope shown in the circuit illustrates the step signal and both its responses. In order to show the difference between the step response of the motor when the speed is being regulated and when it is not, a step signal is given to each system and their responses were plotted using Matlab. First, a step signal of 1V amplitude shown in red on Figure 4.2 was given to the open loop system and its response is drawn in green. After that, the same previous input step was tried on the closed loop system where the speed is repeatedly regulated. The response using PID controller is plotted in blue. Both responses of the open and closed loop systems are plotted together in order to compare and notice the difference that the PID brings to the system. 32

47 Chapter 4 SIMULATION & IMPLEMENTATION RESULTS Figure 4.2: Open/closed loop system s step response 4.2 Implementation Results: The experimental work was carried out to evaluate the performance of the PID controller. To verify the performance of the controller design on hardware, the On-Chip System is compiled and implemented into the DE2 Board including the NIOS II processor. The NIOS II IDE is then used to compile, load, and execute the PID C code. Three input switches S1, S2 and S3 are used to set the target speeds of 1000 rpm, 1800 rpm, and 2550 rpm respectively without considering the 0 rpm speed which is when no switch or more than one are enabled. Once this is done, the ADC is triggered to sample and convert the speed data. The PID controller C implementation (continuously running on the NIOS II) then calculates the PID control output. This value is sent to the motor through the PWM block. Once the current speed equals the set speed, the motor starts running at that set speed. Meanwhile, the instantaneous motor speed is displayed on four seven-segment digits. To set a new target speed, the above procedure is repeated by changing the switches positions. For purposes of comparison and showing the efficiency of the PID effect on the motor s speed, two cases are evaluated to show the role of the controller: open-loop and close-loop systems. Figure 4.3 shows the experimental setup of the system showing the FPGA board, ADC, H-bridge, and the DC motor. 33

48 Chapter 4 SIMULATION & IMPLEMENTATION IMPLEME RESULTS Figure 4.3: Experimental setup of the system Open-loop loop results In this case, the motor is directly connected to the driver without using the PID (Open loop system). As the set speed is varied, it is observed that the current speed, which is displayed, on the seven segment display is different from the set speed value. Table shows the results obtained from the performed tests: Switches Reference Speed (rpm) Measured Speed (rpm) No/more than one switch 0 0 Switch Switch Switch Table 4.1:.1: Implementation results on open loop system For a reference speed of 0 rpm and 2550 rpm, there was no problem with the results because the PWM signal is either permanently reset preventing the motor from any voltage supplying or permanently set giving the maximum voltage to the motor and hence running at the max speed. But the results obtained from a set speed of 1000 rpm or 1800 rpm were not satisfying since there was around aroun 50% error in the measured speed. 34

49 Chapter 4 SIMULATION & IMPLEMENTATION RESULTS Closed-loop results: In the second case, the digital PID controller successfully implemented using the FPGA and its performance is verified and tested on a DC motor speed control. To be able to perform a comparison with the first case, we repeated the same tests as before with the exact same speed values to see the effect of the PID on the system. Table 4.2 shows the obtained results: Switches Reference Speed (rpm) Measured Speed (rpm) No/more than one switch 0 0 Switch /- Steady State error Switch /- Steady State error Switch Table 4.2: Implementation results on closed loop system To show the way the speed is continuously fixed, the motor was given a set speed of 1000 rpm. The speed values were printed during seconds using the C code executed on the NIOS II processor and then plotted as shown in Figure 4.4: 1400,000 Measured Speed (rpm) 1200, , , , , ,000 0, ,025 0,051 0,076 0,102 0,127 0,153 0,178 0,203 0,229 0,254 0,280 0,305 0,331 0,356 0,381 0,407 0,432 0,458 0,483 0,509 0,534 0,559 0,585 0,610 0,636 0,661 0,687 0,712 Time (sec) Figure 4.4: Measured Speed (rpm) versus Time (sec) The test results showed that the PID controller successfully reached target speed and maintained it thereafter. The experimental settling time is found to be as expected when the PID values were found in chapter-2 which is equal to sec. After the settling time, the speed is rising and dropping with a small steady state error. This is due to the continuous adjustment performed by the PID controller through the PWM digital signal. 35

50 Chapter 4 SIMULATION & IMPLEMENTATION RESULTS For every speed value recorded in the above graph, we calculated its corresponding error as a percentage of the set speed. These error values are then plotted. The results are shown in Figure 4.5: Calculated speed error (%) ,025 0,051 0,076 0,102 0,127 0,153 0,178 0,203 0,229 0,254 0,280 0,305 0,331 0,356 0,381 0,407 0,432 0,458 0,483 0,509 0,534 0,559 0,585 0,610 0,636 0,661 0,687 0,712 Time (sec) Figure 4.5: Calculated speed error (% of set speed) versus Time (sec) In order to get the Steady state error, the average of the absolute error values corresponding to each speed recorded earlier (303 values) is calculated as illustrated next: _ _ = _ _ = 3.25 % It can be clearly seen that this error is very reasonable and the PID controller performed well in regulating the speed according to the desired performance conditions which were set when it was first designed (rise time, settling time, etc ). 36

51 Chapter Five Conclusion

52 Chapter 5 CONCLUSION 5.1 Conclusion In this report, a speed control implementation for DC motor based on SOPC technology was proposed. The aim of this work was to control the speed of a DC motor by FPGA platform using PID controller. The speed control of the DC motor is achieved by controlling the Pulse Width Modulation with the help of the PID controller. This was successfully completed by getting the right parameters (Kp, Ki, Kd) of the controller to set the speed of the motor with an accuracy of plus or minus 3.25% for speed values varying from 0 rpm to 2550 rpm and a settling time of seconds. The design of the project demonstrated how a library of VHDL entities can be built up to form the basic building blocks of digital hardware circuit. Instantiating these blocks in Quartus schematic editor proved to be a very intuitive method of designing such a system. The SOPC Builder also proved to be a valuable tool for the system design and allowed ease and effectiveness in the PID implementation. The use of the FPGA turned out to be very practical since its can implement large hardware which is reconfigurable again. They also support hard/soft processors inside them in addition to other hardware peripherals to form what is called a System On Programmable Chip with only the blocks needed by the system. The software part of the PID controller was successfully implemented by executing a C code on the SOPC system using the NIOS II processor. 37

53 Chapter 5 CONCLUSION 5.2 Future work For future work, the use another analog to digital converter with higher resolution than 8-bit digital data can improve performance. Since the ADC used in this project was giving data from 0 to 255 and the speed was varying between 0 rpm to 2550 making each bit change in the digital data rise the speed with a 10 rpm speed value. An ADC of 10-bits digital data or more would certainly provide more accuracy in the measured speed and hence less steady state error. Another approach worth trying is to implement other types of controllers such as MPC (Model-Based Predictive Control). A comparison can be done between the PID type controller and this type in order to judge their effectiveness, especially for SOPC and FPGA implementation. 38

54 Appendix A The L293D H-bridge s was used in our project. Figure A.1 shows its block diagram and top view: Figure A.1: Block diagram and Top view of L293D H-Bridge [13] The ADC Bit A/D Converters with 8-Channel Multiplexer was also used to convert the analog data into digital. Figure A.2 represents the top view of the ADC0808: Figure A.2: Top view of ADC0808 H-Bridge [18]

55 REFERENCES [1] K.J. Astrom and T. H. Hagglund, New Tuning Methods for PID Controllers, Proc. of 3rd European Conference, pp , [2] Shouling He and Xuping Xu, Hardware/Software Co design Approach for an ADALINE Based Adaptive Control System, Journal of Computers, vol. 3, no.2, pp , Academy publisher, February [3] Altium Designer training module, FPGA Design Basics, February [4] ECE Users pages, visited 16/05/2015 [5] Bogdan Alecsa, Marcian N. Cirstea, Simulink Modeling and Design of an Efficient Hardware-constrained FPGA-based PMSM Speed Controller, Senior Member, IEEE, Alexandru Onea, [6] Altera DE2 user manual, [7] Altera corporation, Introduction to the Altera SOPC Builder, [8] SOPC, Hello from NIOS II, visited 13/05/2015 [9] Ranjay Krishna, Tachometer and Motor Controller, Cornel l University '13, April [10] Manoj Kushwah and Prof. Ashis Patra, Tuning PID Controller for Speed Control of DC Motor Using Soft Computing Techniques, Electrical Engineering Dept, Madhav Institute of Technology and Science, Gwalior, India, [11] Basil Hamed and Moayed Al-Mobaied, Fuzzy PID Controllers Using FPGA Technique for Real Time DC Motor Speed Control, Electrical Engineering Department, Islamic University of Gaza, Gaza, Palestine, [12] Chuck McManis, H-Bridges: Theory and Practice, December [13] Datasheet, L293D H-bridge, SGS-THOMSON Microelectronics, [14] V. K. Mehta, Rohit Mehta Objective Electrical Technology, Google ebook, [15] 02/06/2015 [16] -motors-dc-motor-types 16/05/2015 [17] ctms.engin.umich.edu 16/05/2015 [18] Datasheet, ADC0808/ADC Bit µp Compatible A/D Converters with 8- Channel Multiplexer. Texas Instruments Incorporated, 2014.

FPGA-Based Autonomous Obstacle Avoidance Robot.

FPGA-Based Autonomous Obstacle Avoidance Robot. People s Democratic Republic of Algeria Ministry of Higher Education and Scientific Research University M Hamed BOUGARA Boumerdes Institute of Electrical and Electronic Engineering Department of Electronics

More information

Sensors and Sensing Motors, Encoders and Motor Control

Sensors and Sensing Motors, Encoders and Motor Control Sensors and Sensing Motors, Encoders and Motor Control Todor Stoyanov Mobile Robotics and Olfaction Lab Center for Applied Autonomous Sensor Systems Örebro University, Sweden todor.stoyanov@oru.se 13.11.2014

More information

Sensors and Sensing Motors, Encoders and Motor Control

Sensors and Sensing Motors, Encoders and Motor Control Sensors and Sensing Motors, Encoders and Motor Control Todor Stoyanov Mobile Robotics and Olfaction Lab Center for Applied Autonomous Sensor Systems Örebro University, Sweden todor.stoyanov@oru.se 05.11.2015

More information

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 47 CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 4.1 INTRODUCTION Passive filters are used to minimize the harmonic components present in the stator voltage and current of the BLDC motor. Based on the design,

More information

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris.

Journal of Engineering Science and Technology Review 9 (5) (2016) Research Article. L. Pyrgas, A. Kalantzopoulos* and E. Zigouris. Jestr Journal of Engineering Science and Technology Review 9 (5) (2016) 51-55 Research Article Design and Implementation of an Open Image Processing System based on NIOS II and Altera DE2-70 Board L. Pyrgas,

More information

CIS009-2, Mechatronics Signals & Motors

CIS009-2, Mechatronics Signals & Motors CIS009-2, Signals & Motors Bedfordshire 13 th December 2012 Outline 1 2 3 4 5 6 7 8 3 Signals Two types of signals exist: 4 Bedfordshire 52 Analogue signal In an analogue signal voltages and currents continuously

More information

CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE

CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE 23 CHAPTER 2 PID CONTROLLER BASED CLOSED LOOP CONTROL OF DC DRIVE 2.1 PID CONTROLLER A proportional Integral Derivative controller (PID controller) find its application in industrial control system. It

More information

PWM, ALT, HALT, HAST.

PWM, ALT, HALT, HAST. CLOSED LOOP IMPLEMENTATION OF SPEED CONTROL OF A BRUSHED PMDC MOTOR OF AN X-RAY SYSTEM AND VALIDATION OF RELIABILITY OF THE CONTROLLER Mutum Meenakshi Devi 1, V Chayapathy 2 Dept. of Electrical and Electronics

More information

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA

DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA DESIGN OF INTELLIGENT PID CONTROLLER BASED ON PARTICLE SWARM OPTIMIZATION IN FPGA S.Karthikeyan 1 Dr.P.Rameshbabu 2,Dr.B.Justus Robi 3 1 S.Karthikeyan, Research scholar JNTUK., Department of ECE, KVCET,Chennai

More information

CHAPTER 4 FUZZY LOGIC CONTROLLER

CHAPTER 4 FUZZY LOGIC CONTROLLER 62 CHAPTER 4 FUZZY LOGIC CONTROLLER 4.1 INTRODUCTION Unlike digital logic, the Fuzzy Logic is a multivalued logic. It deals with approximate perceptive rather than precise. The effective and efficient

More information

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE

CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 113 CHAPTER-5 DESIGN OF DIRECT TORQUE CONTROLLED INDUCTION MOTOR DRIVE 5.1 INTRODUCTION This chapter describes hardware design and implementation of direct torque controlled induction motor drive with

More information

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Rahul Baranwal 1, Omama Aftab 2, Mrs. Deepti Ojha 3 1,2, B.Tech Final Year (Electronics and Communication Engineering),

More information

PID Implementation on FPGA for Motion Control in DC Motor Using VHDL

PID Implementation on FPGA for Motion Control in DC Motor Using VHDL IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 116-121 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org PID Implementation on FPGA

More information

Brushed DC Motor Microcontroller PWM Speed Control with Optical Encoder and H-Bridge

Brushed DC Motor Microcontroller PWM Speed Control with Optical Encoder and H-Bridge Brushed DC Motor Microcontroller PWM Speed Control with Optical Encoder and H-Bridge L298 Full H-Bridge HEF4071B OR Gate Brushed DC Motor with Optical Encoder & Load Inertia Flyback Diodes Arduino Microcontroller

More information

RAPID CONTROL PROTOTYPING FOR ELECTRIC DRIVES

RAPID CONTROL PROTOTYPING FOR ELECTRIC DRIVES RAPID CONTROL PROTOTYPING FOR ELECTRIC DRIVES Lukáš Pohl Doctoral Degree Programme (2), FEEC BUT E-mail: xpohll01@stud.feec.vutbr.cz Supervised by: Petr Blaha E-mail: blahap@feec.vutbr.cz Abstract: This

More information

Microcontroller Based Closed Loop Speed and Position Control of DC Motor

Microcontroller Based Closed Loop Speed and Position Control of DC Motor International Journal of Engineering and Advanced Technology (IJEAT) ISSN: 2249 8958, Volume-3, Issue-5, June 2014 Microcontroller Based Closed Loop Speed and Position Control of DC Motor Panduranga Talavaru,

More information

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and

INTRODUCTION. In the industrial applications, many three-phase loads require a. supply of Variable Voltage Variable Frequency (VVVF) using fast and 1 Chapter 1 INTRODUCTION 1.1. Introduction In the industrial applications, many three-phase loads require a supply of Variable Voltage Variable Frequency (VVVF) using fast and high-efficient electronic

More information

VECTOR CONTROL SCHEME FOR INDUCTION MOTOR WITH DIFFERENT CONTROLLERS FOR NEGLECTING THE END EFFECTS IN HEV APPLICATIONS

VECTOR CONTROL SCHEME FOR INDUCTION MOTOR WITH DIFFERENT CONTROLLERS FOR NEGLECTING THE END EFFECTS IN HEV APPLICATIONS VECTOR CONTROL SCHEME FOR INDUCTION MOTOR WITH DIFFERENT CONTROLLERS FOR NEGLECTING THE END EFFECTS IN HEV APPLICATIONS M.LAKSHMISWARUPA 1, G.TULASIRAMDAS 2 & P.V.RAJGOPAL 3 1 Malla Reddy Engineering College,

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

EE 4314 Lab 3 Handout Speed Control of the DC Motor System Using a PID Controller Fall Lab Information

EE 4314 Lab 3 Handout Speed Control of the DC Motor System Using a PID Controller Fall Lab Information EE 4314 Lab 3 Handout Speed Control of the DC Motor System Using a PID Controller Fall 2012 IMPORTANT: This handout is common for all workbenches. 1. Lab Information a) Date, Time, Location, and Report

More information

A Brushless DC Motor Speed Control By Fuzzy PID Controller

A Brushless DC Motor Speed Control By Fuzzy PID Controller A Brushless DC Motor Speed Control By Fuzzy PID Controller M D Bhutto, Prof. Ashis Patra Abstract Brushless DC (BLDC) motors are widely used for many industrial applications because of their low volume,

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization)

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering. (An ISO 3297: 2007 Certified Organization) International Journal of Advanced Research in Electrical, Electronics Device Control Using Intelligent Switch Sreenivas Rao MV *, Basavanna M Associate Professor, Department of Instrumentation Technology,

More information

Design of stepper motor position control system based on DSP. Guan Fang Liu a, Hua Wei Li b

Design of stepper motor position control system based on DSP. Guan Fang Liu a, Hua Wei Li b nd International Conference on Machinery, Electronics and Control Simulation (MECS 17) Design of stepper motor position control system based on DSP Guan Fang Liu a, Hua Wei Li b School of Electrical Engineering,

More information

Training Schedule. Robotic System Design using Arduino Platform

Training Schedule. Robotic System Design using Arduino Platform Training Schedule Robotic System Design using Arduino Platform Session - 1 Embedded System Design Basics : Scope : To introduce Embedded Systems hardware design fundamentals to students. Processor Selection

More information

ME375 Lab Project. Bradley Boane & Jeremy Bourque April 25, 2018

ME375 Lab Project. Bradley Boane & Jeremy Bourque April 25, 2018 ME375 Lab Project Bradley Boane & Jeremy Bourque April 25, 2018 Introduction: The goal of this project was to build and program a two-wheel robot that travels forward in a straight line for a distance

More information

Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU

Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU Application Note Electric Bike BLDC Hub Motor Control Using the Z8FMC1600 MCU AN026002-0608 Abstract This application note describes a controller for a 200 W, 24 V Brushless DC (BLDC) motor used to power

More information

Design and Simulation of PID Controller using FPGA

Design and Simulation of PID Controller using FPGA IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X Design and Simulation of PID Controller using FPGA Ankur Dave PG Student Department

More information

6. HARDWARE PROTOTYPE AND EXPERIMENTAL RESULTS

6. HARDWARE PROTOTYPE AND EXPERIMENTAL RESULTS 6. HARDWARE PROTOTYPE AND EXPERIMENTAL RESULTS Laboratory based hardware prototype is developed for the z-source inverter based conversion set up in line with control system designed, simulated and discussed

More information

Current Rebuilding Concept Applied to Boost CCM for PF Correction

Current Rebuilding Concept Applied to Boost CCM for PF Correction Current Rebuilding Concept Applied to Boost CCM for PF Correction Sindhu.K.S 1, B. Devi Vighneshwari 2 1, 2 Department of Electrical & Electronics Engineering, The Oxford College of Engineering, Bangalore-560068,

More information

CHAPTER-III MODELING AND IMPLEMENTATION OF PMBLDC MOTOR DRIVE

CHAPTER-III MODELING AND IMPLEMENTATION OF PMBLDC MOTOR DRIVE CHAPTER-III MODELING AND IMPLEMENTATION OF PMBLDC MOTOR DRIVE 3.1 GENERAL The PMBLDC motors used in low power applications (up to 5kW) are fed from a single-phase AC source through a diode bridge rectifier

More information

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER

CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 65 CHAPTER 4 CONTROL ALGORITHM FOR PROPOSED H-BRIDGE MULTILEVEL INVERTER 4.1 INTRODUCTION Many control strategies are available for the control of IMs. The Direct Torque Control (DTC) is one of the most

More information

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM

CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 74 CHAPTER 4 HARDWARE DEVELOPMENT OF STATCOM 4.1 LABORATARY SETUP OF STATCOM The laboratory setup of the STATCOM consists of the following hardware components: Three phase auto transformer used as a 3

More information

L E C T U R E R, E L E C T R I C A L A N D M I C R O E L E C T R O N I C E N G I N E E R I N G

L E C T U R E R, E L E C T R I C A L A N D M I C R O E L E C T R O N I C E N G I N E E R I N G P R O F. S L A C K L E C T U R E R, E L E C T R I C A L A N D M I C R O E L E C T R O N I C E N G I N E E R I N G G B S E E E @ R I T. E D U B L D I N G 9, O F F I C E 0 9-3 1 8 9 ( 5 8 5 ) 4 7 5-5 1 0

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA

Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA Colour Recognizing Robot Arm Equipped with a CMOS Camera and an FPGA Asma Taha Sadoon College of Engineering University of Baghdad Dina Abdul Kareem Abdul Qader College of Engineering University of Baghdad

More information

SPEED CONTROL OF DC MOTOR USING PWM TECHNIQUE

SPEED CONTROL OF DC MOTOR USING PWM TECHNIQUE SPEED CONTROL OF DC MOTOR USING PWM TECHNIQUE Shubham Naik 1 1 Electrical Engineering Abstract DC motors are widely used in industries where high speed torque requirement. Because of it characteristics

More information

ISSN Vol.05,Issue.01, January-2017, Pages:

ISSN Vol.05,Issue.01, January-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.01, January-2017, Pages:0028-0032 Digital Control Strategy for Four Quadrant Operation of Three Phase BLDC Motor with Load Variations MD. HAFEEZUDDIN 1, KUMARASWAMY

More information

Effective Teaching Learning Process for PID Controller Based on Experimental Setup with LabVIEW

Effective Teaching Learning Process for PID Controller Based on Experimental Setup with LabVIEW Effective Teaching Learning Process for PID Controller Based on Experimental Setup with LabVIEW Komal Sampatrao Patil & D.R.Patil Electrical Department, Walchand college of Engineering, Sangli E-mail :

More information

Lab Exercise 9: Stepper and Servo Motors

Lab Exercise 9: Stepper and Servo Motors ME 3200 Mechatronics Laboratory Lab Exercise 9: Stepper and Servo Motors Introduction In this laboratory exercise, you will explore some of the properties of stepper and servomotors. These actuators are

More information

Modeling, Simulation and Implementation of Speed Control of DC Motor Using PIC 16F877A

Modeling, Simulation and Implementation of Speed Control of DC Motor Using PIC 16F877A Modeling, Simulation and Implementation of Speed Control of DC Motor Using PIC 16F877A Payal P.Raval 1, Prof.C.R.mehta 2 1 PG Student, Electrical Engg. Department, Nirma University, SG Highway, Ahmedabad,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.14 International Journal of Advance Engineering and Research Development Volume 3, Issue 2, February -2016 e-issn (O): 2348-4470 p-issn (P): 2348-6406 SIMULATION

More information

TECHNICAL DOCUMENT EPC SERVO AMPLIFIER MODULE Part Number L xx EPC. 100 Series (1xx) User Manual

TECHNICAL DOCUMENT EPC SERVO AMPLIFIER MODULE Part Number L xx EPC. 100 Series (1xx) User Manual ELECTRONIC 1 100 Series (1xx) User Manual ELECTRONIC 2 Table of Contents 1 Introduction... 4 2 Basic System Overview... 4 3 General Instructions... 5 3.1 Password Protection... 5 3.2 PC Interface Groupings...

More information

Design of double loop-locked system for brush-less DC motor based on DSP

Design of double loop-locked system for brush-less DC motor based on DSP International Conference on Advanced Electronic Science and Technology (AEST 2016) Design of double loop-locked system for brush-less DC motor based on DSP Yunhong Zheng 1, a 2, Ziqiang Hua and Li Ma 3

More information

SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC MOTOR

SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC MOTOR ISSN: 2229-6956(ONLINE) DOI: 10.21917/ijsc.2012.0049 ICTACT JOURNAL ON SOFT COMPUTING, APRIL 2012, VOLUME: 02, ISSUE: 03 SIMULATION AND IMPLEMENTATION OF PID-ANN CONTROLLER FOR CHOPPER FED EMBEDDED PMDC

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore)

Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore) Laboratory 14 Pulse-Width-Modulation Motor Speed Control with a PIC (modified from lab text by Alciatore) Required Components: 1x PIC 16F88 18P-DIP microcontroller 3x 0.1 F capacitors 1x 12-button numeric

More information

DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY EEE 402 : CONTROL SYSTEMS SESSIONAL

DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY EEE 402 : CONTROL SYSTEMS SESSIONAL DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING BANGLADESH UNIVERSITY OF ENGINEERING & TECHNOLOGY EEE 402 : CONTROL SYSTEMS SESSIONAL Experiment No. 1(a) : Modeling of physical systems and study of

More information

Design and Implementation of PID Controller for a two Quadrant Chopper Fed DC Motor Drive

Design and Implementation of PID Controller for a two Quadrant Chopper Fed DC Motor Drive Research Article International Journal of Current Engineering and Technology ISSN 0 0 INPRESSCO. All Rights Reserved. Available at http://inpressco.com/category/ijcet Design and Implementation of PID Controller

More information

Lab 23 Microcomputer-Based Motor Controller

Lab 23 Microcomputer-Based Motor Controller Lab 23 Microcomputer-Based Motor Controller Page 23.1 Lab 23 Microcomputer-Based Motor Controller This laboratory assignment accompanies the book, Embedded Microcomputer Systems: Real Time Interfacing,

More information

FPGA Implementation of a PID Controller with DC Motor Application

FPGA Implementation of a PID Controller with DC Motor Application FPGA Implementation of a PID Controller with DC Motor Application Members Paul Leisher Christopher Meyers Advisors Dr. Stewart Dr. Dempsey This project aims to implement a digital PID controller by means

More information

DC Motor Speed Control using PID Controllers

DC Motor Speed Control using PID Controllers "EE 616 Electronic System Design Course Project, EE Dept, IIT Bombay, November 2009" DC Motor Speed Control using PID Controllers Nikunj A. Bhagat (08307908) nbhagat@ee.iitb.ac.in, Mahesh Bhaganagare (CEP)

More information

DC SERVO MOTOR CONTROL SYSTEM

DC SERVO MOTOR CONTROL SYSTEM DC SERVO MOTOR CONTROL SYSTEM MODEL NO:(PEC - 00CE) User Manual Version 2.0 Technical Clarification /Suggestion : / Technical Support Division, Vi Microsystems Pvt. Ltd., Plot No :75,Electronics Estate,

More information

MEM01: DC-Motor Servomechanism

MEM01: DC-Motor Servomechanism MEM01: DC-Motor Servomechanism Interdisciplinary Automatic Controls Laboratory - ME/ECE/CHE 389 February 5, 2016 Contents 1 Introduction and Goals 1 2 Description 2 3 Modeling 2 4 Lab Objective 5 5 Model

More information

Tarocco Closed Loop Motor Controller

Tarocco Closed Loop Motor Controller Contents Safety Information... 3 Overview... 4 Features... 4 SoC for Closed Loop Control... 4 Gate Driver... 5 MOSFETs in H Bridge Configuration... 5 Device Characteristics... 6 Installation... 7 Motor

More information

Time Response Analysis of a DC Motor Speed Control with PI and Fuzzy Logic Using LAB View Compact RIO

Time Response Analysis of a DC Motor Speed Control with PI and Fuzzy Logic Using LAB View Compact RIO Time Response Analysis of a DC Motor Speed Control with PI and Fuzzy Logic Using LAB View Compact RIO B. Udaya Kumar 1, Dr. M. Ramesh Patnaik 2 1 Associate professor, Dept of Electronics and Instrumentation,

More information

UNIT 2: DC MOTOR POSITION CONTROL

UNIT 2: DC MOTOR POSITION CONTROL UNIT 2: DC MOTOR POSITION CONTROL 2.1 INTRODUCTION This experiment aims to show the mathematical model of a DC motor and how to determine the physical parameters of a DC motor model. Once the model is

More information

II. PROPOSED CLOSED LOOP SPEED CONTROL OF PMSM BLOCK DIAGRAM

II. PROPOSED CLOSED LOOP SPEED CONTROL OF PMSM BLOCK DIAGRAM Closed Loop Speed Control of Permanent Magnet Synchronous Motor fed by SVPWM Inverter Malti Garje 1, D.R.Patil 2 1,2 Electrical Engineering Department, WCE Sangli Abstract This paper presents very basic

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System

Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System Microcontroller Based Electric Expansion Valve Controller for Air Conditioning System Thae Su Aye, and Zaw Myo Lwin Abstract In the air conditioning system, the electric expansion valve (EEV) is one of

More information

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP

CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 115 CHAPTER 6 DEVELOPMENT OF A CONTROL ALGORITHM FOR BUCK AND BOOST DC-DC CONVERTERS USING DSP 6.1 INTRODUCTION Digital control of a power converter is becoming more and more common in industry today because

More information

Temperature Monitoring and Fan Control with Platform Manager 2

Temperature Monitoring and Fan Control with Platform Manager 2 August 2013 Introduction Technical Note TN1278 The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim

Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Lock Cracker S. Lust, E. Skjel, R. LeBlanc, C. Kim Abstract - This project utilized Eleven Engineering s XInC2 development board to control several peripheral devices to open a standard 40 digit combination

More information

EE 314 Spring 2003 Microprocessor Systems

EE 314 Spring 2003 Microprocessor Systems EE 314 Spring 2003 Microprocessor Systems Laboratory Project #9 Closed Loop Control Overview and Introduction This project will bring together several pieces of software and draw on knowledge gained in

More information

Chapter 2: Your Boe-Bot's Servo Motors

Chapter 2: Your Boe-Bot's Servo Motors Chapter 2: Your Boe-Bot's Servo Motors Vocabulary words used in this lesson. Argument in computer science is a value of data that is part of a command. Also data passed to a procedure or function at the

More information

Speed Control of BLDC Motor Using FPGA

Speed Control of BLDC Motor Using FPGA Speed Control of BLDC Motor Using FPGA Jisha Kuruvilla 1, Basil George 2, Deepu K 3, Gokul P.T 4, Mathew Jose 5 Assistant Professor, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam,

More information

Hydraulic Actuator Control Using an Multi-Purpose Electronic Interface Card

Hydraulic Actuator Control Using an Multi-Purpose Electronic Interface Card Hydraulic Actuator Control Using an Multi-Purpose Electronic Interface Card N. KORONEOS, G. DIKEAKOS, D. PAPACHRISTOS Department of Automation Technological Educational Institution of Halkida Psaxna 34400,

More information

MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE

MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE MICROCONTROLLER BASED BOOST PID MUNAJAH BINTI MOHD RUBAEE This thesis is submitted as partial fulfillment of the requirement for the award of Bachelor of Electrical Engineering (Power System) Faculty of

More information

CURRENT FOLLOWER APPROACH BASED PI AND FUZZY LOGIC CONTROLLERS FOR BLDC MOTOR DRIVE SYSTEM FED FROM CUK CONVERTER

CURRENT FOLLOWER APPROACH BASED PI AND FUZZY LOGIC CONTROLLERS FOR BLDC MOTOR DRIVE SYSTEM FED FROM CUK CONVERTER CURRENT FOLLOWER APPROACH BASED PI AND FUZZY LOGIC CONTROLLERS FOR BLDC MOTOR DRIVE SYSTEM FED FROM CUK CONVERTER N. Mohanraj and R. Sankaran Shanmugha Arts, Science, Technology and Research Academy University,

More information

Micro Controller Based Ac Power Controller

Micro Controller Based Ac Power Controller Wireless Sensor Network, 9, 2, 61-121 doi:1.4236/wsn.9.112 Published Online July 9 (http://www.scirp.org/journal/wsn/). Micro Controller Based Ac Power Controller S. A. HARI PRASAD 1, B. S. KARIYAPPA 1,

More information

Fully Integrated FPGA-based configurable Motor Control

Fully Integrated FPGA-based configurable Motor Control Fully Integrated FPGA-based configurable Motor Control Christian Grumbein, Endric Schubert Missing Link Electronics Stefano Zammattio Altera Europe Abstract Field programmable gate arrays (FPGA) provide

More information

Using Magnetic Sensors for Absolute Position Detection and Feedback. Kevin Claycomb University of Evansville

Using Magnetic Sensors for Absolute Position Detection and Feedback. Kevin Claycomb University of Evansville Using Magnetic Sensors for Absolute Position Detection and Feedback. Kevin Claycomb University of Evansville Using Magnetic Sensors for Absolute Position Detection and Feedback. Abstract Several types

More information

Closed Loop Magnetic Levitation Control of a Rotary Inductrack System. Senior Project Proposal. Students: Austin Collins Corey West

Closed Loop Magnetic Levitation Control of a Rotary Inductrack System. Senior Project Proposal. Students: Austin Collins Corey West Closed Loop Magnetic Levitation Control of a Rotary Inductrack System Senior Project Proposal Students: Austin Collins Corey West Advisors: Dr. Winfred Anakwa Mr. Steven Gutschlag Date: December 18, 2013

More information

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE

CHAPTER 2 VSI FED INDUCTION MOTOR DRIVE CHAPTER 2 VI FE INUCTION MOTOR RIVE 2.1 INTROUCTION C motors have been used during the last century in industries for variable speed applications, because its flux and torque can be controlled easily by

More information

Closed loop speed control of dc motor using PID controller

Closed loop speed control of dc motor using PID controller Closed loop speed control of dc motor using PID controller Padmaprakash 1, Divya K Pai 2 Student, Electrical and Electronics, St. Joseph Engineering College Vamanjoor, Mangalore, India 1 Assistance Professor,

More information

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 14, NO. 3, MAY A Sliding Mode Current Control Scheme for PWM Brushless DC Motor Drives

IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 14, NO. 3, MAY A Sliding Mode Current Control Scheme for PWM Brushless DC Motor Drives IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 14, NO. 3, MAY 1999 541 A Sliding Mode Current Control Scheme for PWM Brushless DC Motor Drives Jessen Chen and Pei-Chong Tang Abstract This paper proposes

More information

The University of Wisconsin-Platteville

The University of Wisconsin-Platteville Embedded Motor Drive Development Platform for Undergraduate Education By: Nicholas, Advisor Dr. Xiaomin Kou This research and development lead to the creation of an Embedded Motor Drive Prototyping station

More information

Step vs. Servo Selecting the Best

Step vs. Servo Selecting the Best Step vs. Servo Selecting the Best Dan Jones Over the many years, there have been many technical papers and articles about which motor is the best. The short and sweet answer is let s talk about the application.

More information

MODEL BASED DESIGN OF PID CONTROLLER FOR BLDC MOTOR WITH IMPLEMENTATION OF EMBEDDED ARDUINO MEGA CONTROLLER

MODEL BASED DESIGN OF PID CONTROLLER FOR BLDC MOTOR WITH IMPLEMENTATION OF EMBEDDED ARDUINO MEGA CONTROLLER www.arpnjournals.com MODEL BASED DESIGN OF PID CONTROLLER FOR BLDC MOTOR WITH IMPLEMENTATION OF EMBEDDED ARDUINO MEGA CONTROLLER M.K.Hat 1, B.S.K.K. Ibrahim 1, T.A.T. Mohd 2 and M.K. Hassan 2 1 Department

More information

2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control. October 5, 2009 Dr. Harrison H. Chin

2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control. October 5, 2009 Dr. Harrison H. Chin 2.017 DESIGN OF ELECTROMECHANICAL ROBOTIC SYSTEMS Fall 2009 Lab 4: Motor Control October 5, 2009 Dr. Harrison H. Chin Formal Labs 1. Microcontrollers Introduction to microcontrollers Arduino microcontroller

More information

Speed Measurement Method for Digital Control System

Speed Measurement Method for Digital Control System Preprint of the paper presented on 9 th EPE European Conference on Power Electronics and Applications, 27-29 August 2001 full paper: http://www.epe-association.org/epe/documents.php?current=40 DOI : http://dx.doi.org/10.6084/m9.figshare.730619

More information

Teaching Mechanical Students to Build and Analyze Motor Controllers

Teaching Mechanical Students to Build and Analyze Motor Controllers Teaching Mechanical Students to Build and Analyze Motor Controllers Hugh Jack, Associate Professor Padnos School of Engineering Grand Valley State University Grand Rapids, MI email: jackh@gvsu.edu Session

More information

Draw the symbol and state the applications of : 1) Push button switch 2) 3) Solenoid valve 4) Limit switch ( 1m each) Ans: 1) Push Button

Draw the symbol and state the applications of : 1) Push button switch 2) 3) Solenoid valve 4) Limit switch ( 1m each) Ans: 1) Push Button Subject Code: 17641Model AnswerPage 1 of 16 Important suggestions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

QuickBuilder PID Reference

QuickBuilder PID Reference QuickBuilder PID Reference Doc. No. 951-530031-006 2010 Control Technology Corp. 25 South Street Hopkinton, MA 01748 Phone: 508.435.9595 Fax: 508.435.2373 Thursday, March 18, 2010 2 QuickBuilder PID Reference

More information

Design of an electronic platform based on FPGA-DSP for motion control applications

Design of an electronic platform based on FPGA-DSP for motion control applications Design of an electronic platform based on FPGA-DSP for motion control applications Carlos Torres-Hernandez, Juvenal Rodriguez-Resendiz, Universidad Autónoma de Querétaro Cerro de Las Campanas, s/n, Las

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers

Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers Chapter 4 Development of a MATLAB Data Acquisition and Control Toolbox for BASIC Stamp Microcontrollers 4.1. Introduction Data acquisition and control boards, also known as DAC boards, are used in virtually

More information

The DC Machine Laboration 3

The DC Machine Laboration 3 EIEN25 - Power Electronics: Devices, Converters, Control and Applications The DC Machine Laboration 3 Updated February 19, 2018 1. Before the lab, look through the manual and make sure you are familiar

More information

Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller

Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller Design of an Intelligent Pressure Control System Based on the Fuzzy Self-tuning PID Controller 1 Deepa S. Bhandare, 2 N. R.Kulkarni 1,2 Department of Electrical Engineering, Modern College of Engineering,

More information

Observer-based Engine Cooling Control System (OBCOOL) Project Proposal. Students: Andrew Fouts & Kurtis Liggett. Advisor: Dr.

Observer-based Engine Cooling Control System (OBCOOL) Project Proposal. Students: Andrew Fouts & Kurtis Liggett. Advisor: Dr. Observer-based Engine Cooling Control System (OBCOOL) Project Proposal Students: Andrew Fouts & Kurtis Liggett Advisor: Dr. Gary Dempsey Date: December 09, 2010 1 Introduction Control systems exist in

More information

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Joakim Arnsby, et04ja@student.lth.se Joakim Baltsén, et05jb4@student.lth.se Simon Nilsson, et05sn9@student.lth.se Erik Osvaldsson,

More information

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its

Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its Hello, and welcome to this presentation of the FlexTimer or FTM module for Kinetis K series MCUs. In this session, you ll learn about the FTM, its main features and the application benefits of leveraging

More information

ISSN: (Online) Volume 2, Issue 1, January 2014 International Journal of Advance Research in Computer Science and Management Studies

ISSN: (Online) Volume 2, Issue 1, January 2014 International Journal of Advance Research in Computer Science and Management Studies ISSN: 2321-7782 (Online) Volume 2, Issue 1, January 2014 International Journal of Advance Research in Computer Science and Management Studies Research Paper Available online at: www.ijarcsms.com Fuzzy

More information

Embedded Control Project -Iterative learning control for

Embedded Control Project -Iterative learning control for Embedded Control Project -Iterative learning control for Author : Axel Andersson Hariprasad Govindharajan Shahrzad Khodayari Project Guide : Alexander Medvedev Program : Embedded Systems and Engineering

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor

Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Mechatronics Laboratory Assignment 3 Introduction to I/O with the F28335 Motor Control Processor Recommended Due Date: By your lab time the week of February 12 th Possible Points: If checked off before

More information

Hardware Implementation of Automatic Control Systems using FPGAs

Hardware Implementation of Automatic Control Systems using FPGAs Hardware Implementation of Automatic Control Systems using FPGAs Lecturer PhD Eng. Ionel BOSTAN Lecturer PhD Eng. Florin-Marian BÎRLEANU Romania Disclaimer: This presentation tries to show the current

More information

University of California at Berkeley Donald A. Glaser Physics 111A Instrumentation Laboratory

University of California at Berkeley Donald A. Glaser Physics 111A Instrumentation Laboratory Published on Instrumentation LAB (http://instrumentationlab.berkeley.edu) Home > Lab Assignments > Digital Labs > Digital Circuits II Digital Circuits II Submitted by Nate.Physics on Tue, 07/08/2014-13:57

More information

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive

Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive ISSN 1 746-72, England, UK World Journal of Modelling and Simulation Vol. 9 (201) No. 2, pp. 8-88 Simulation and Experimental Based Four Switch Three Phase Inverter Fed Induction Motor Drive Nalin Kant

More information