TDM Photonic Network using Deposited Materials

Size: px
Start display at page:

Download "TDM Photonic Network using Deposited Materials"

Transcription

1 TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011

2 Motivation for Silicon Photonics Performance scaling becoming extremely difficult Data movement cost increasingly expensive On/off-chip communication bandwidth limited Photonics offers: Higher bandwidth density High datarate and parallel wavelengths Low operating power Low latency HPEC

3 Target Architectures Optical interconnection network on stacked memory Optical link to memory HPEC

4 Ring Resonators electric control modulator switch Off resonance waveguide waveguide light source optical message signal signal electric control switch On resonance waveguide waveguide signal filter HPEC

5 Electrical control Photonic Communication Light source Photonic Network ring-resonator modulators filters detectors HPEC

6 Electrical control Photonic Communication Light source Photonic Network 10 Gb/s x 3 = 30 Gb/s aggregate bandwidth filters detectors HPEC

7 Optical Power Budget Optical Power Nonlinear Effects P total = P channel Maximum Network-Level Insertion Loss N Detector Sensitivity Total Injected Power Injected Power Per Wavelength Received Power 1 dbm passing by a ring db each The total injectable power P total must remain below a threshold to avoid non-linear effects. P total is then divided among the N wavelengths of a WDM packet, where each channels injects at P channel. waveguide crossing db each 1 mm waveguide propagation -1.0 db/cm total insertion loss 0.32 db 0.68 dbm HPEC

8 Silicon Photonics Technologies Material Crystalline Silicon Propagation Loss 1.7 db/cm [Xia et al. 2007] Crystalline Silicon Best electrical and optical properties Unable to deposit HPEC

9 Silicon Photonics Technologies Material Crystalline Silicon Polycrystalline Silicon Propagation Loss 1.7 db/cm [Xia et al. 2007] 6.45 db/cm [Fang et al. 2008] Crystalline Silicon Best electrical and optical properties Unable to deposit Polycrystalline Silicon Can deposit Very lossy HPEC

10 Silicon Photonics Technologies Material Crystalline Silicon Polycrystalline Silicon Silicon Nitride Propagation Loss 1.7 db/cm [Xia et al. 2007] 6.45 db/cm [Fang et al. 2008] 0.1 db/cm [Shaw et al. 2005] [Gondarenko et al. 2009] Crystalline Silicon Best electrical and optical properties Unable to deposit Polycrystalline Silicon Can deposit Very lossy Silicon Nitride Very low loss Can deposit Not useful active devices HPEC

11 Poly-Si / SiN Combination approach We can use silicon nitride and polycrystalline silicon in combination SiN for non-active wave guides Poly-Si for active devices (e.g. ring-resonator based switch) Designs for a layered modulator and switch HPEC

12 Poly-Si / SiN Combination approach Waveguide crossings eliminated Insertion loss, crosstalk both incurred heavily in waveguide crossings However,.1 db insertion loss per vertical coupling [Sun et al. 2008] HPEC

13 Insertion Loss Analysis Worst-case insertion loss for a photonic mesh [Biberman et al. 2011] HPEC

14 Insertion Loss Analysis Worst-case insertion loss for a photonic mesh [Biberman et al. 2011] HPEC

15 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

16 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

17 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

18 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

19 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

20 Photonic TDM NoC Architecture Mesh topology No electronic links, other than TDM clock distribution Time slot 1 Time slot 2 TIME Time slot 3 Time slot 4 Time slot 5 HPEC

21 X-Y Buffering Mesh topology No electronic links, other than TDM clock distribution Nonlinear Effects P total = P channel N Optical Power Maximum Network-Level Insertion Loss Detector Sensitivity HPEC

22 Photonic TDM vs. Photonic Circuit-Switched Insertion Loss HPEC

23 Single-Layer Switch vs. Multi-layer Switch Single-layer TDM Switch Multi-layer TDM Switch Gateway 1 North East West 4 Control South HPEC

24 Single-Layer Switch vs. Multi-layer Switch Single-layer TDM Switch Multi-layer TDM Switch Gateway 1 North East West 4 Control South 18 crossings 4 crossings HPEC

25 TDM Network Insertion Loss Analysis 4x4 Network 8x8 Network 16x16 Network 64x64 Network HPEC

26 Maximum Bandwidth (# of Wavelengths) 4x4 Network 8x8 Network 16x16 Network 64x64 Network HPEC

27 Summary of Results HPEC

28 Conclusions Poly-Silicon and Silicon Nitride in conjunction are a good choice of materials for photonic interconnection networks Low-loss = more wavelengths = higher bandwidth We ve shown that our best network, when at large scale, can be improved with a multi-layer implementation Future work: We expect the elimination of waveguide crossings to significantly reduce crosstalk across a wide variety of network architectures HPEC

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O Connor To cite this version: Hui Li, Sébastien

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

WITH the vast rise in parallel multicore architectures, the

WITH the vast rise in parallel multicore architectures, the JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 34, NO. 12, JUNE 15, 2016 2975 Comprehensive Design Space Exploration of Silicon Photonic Interconnects Meisam Bahadori, Student Member, IEEE, Sébastien Rumley, Member,

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

Monolithic, Athermal Optical A/D Filter

Monolithic, Athermal Optical A/D Filter Monolithic, Athermal Optical A/D Filter Vivek Raghunathan, Jurgen Michel and Lionel C. Kimerling Microphotonics Center, Massachusetts Institute of Technology, USA Collaborators: Prof. Karen K. Gleason,

More information

CHAPTER 4 RESULTS. 4.1 Introduction

CHAPTER 4 RESULTS. 4.1 Introduction CHAPTER 4 RESULTS 4.1 Introduction In this chapter focus are given more on WDM system. The results which are obtained mainly from the simulation work are presented. In simulation analysis, the study will

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mohamed Chaibi*, Laurent Bramerie, Sébastien Lobo, Christophe Peucheret *chaibi@enssat.fr FOTON

More information

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE 6 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 16, NO. 1, JANUARY/FEBRUARY 2010 High-Performance Modulators and Switches for Silicon Photonic Networks-on-Chip Benjamin G. Lee, Member, IEEE,

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

Optical Interconnection and Clocking for Electronic Chips

Optical Interconnection and Clocking for Electronic Chips 1 Optical Interconnection and Clocking for Electronic Chips Aparna Bhatnagar and David A. B. Miller Department of Electrical Engineering Stanford University, Stanford CA 9430 ABSTRACT As the speed of electronic

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

from the Photonics Dictionary at Photonics.com

from the Photonics Dictionary at Photonics.com Photonics term in listing The technology of generating and harnessing light and other forms of radiant energy whose quantum unit is the photon. The science includes light emission, transmission, deflection,

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 016 Lecture 7: Transmitter Analysis Sam Palermo Analog & Mixed-Signal Center Texas A&M University Optical Modulation Techniques

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Optical Interconnection in Silicon LSI

Optical Interconnection in Silicon LSI The Fifth Workshop on Nanoelectronics for Tera-bit Information Processing, 1 st Century COE, Hiroshima University Optical Interconnection in Silicon LSI Shin Yokoyama, Yuichiro Tanushi, and Masato Suzuki

More information

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop,

More information

Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics

Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics K.A. Williams, E.T. Aw*, H. Wang*, R.V. Penty*, I.H. White* COBRA Research Institute Eindhoven University

More information

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects

Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Indian Journal of Pure & Applied Physics Vol. 55, May 2017, pp. 363-367 Performance of silicon micro ring modulator with an interleaved p-n junction for optical interconnects Priyanka Goyal* & Gurjit Kaur

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

Jason Cong, Glenn Reinman.

Jason Cong, Glenn Reinman. RF Interconnects for Communications On-chip 1 M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide [ APPLIED PHYSICS LETTERS ] High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide Dazeng Feng, Shirong Liao, Roshanak Shafiiha. etc Contents 1. Introduction

More information

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach Kjersti Kleven and Scott T. Dunham Department of Electrical Engineering University of Washington 27 September 27 Outline

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Silicon-On-Insulator based guided wave optical clock distribution

Silicon-On-Insulator based guided wave optical clock distribution Silicon-On-Insulator based guided wave optical clock distribution K. E. Moselund, P. Dainesi, and A. M. Ionescu Electronics Laboratory Swiss Federal Institute of Technology People and funding EPFL Project

More information

OTemp: Optical Thermal Effect Modeling Platform User Manual

OTemp: Optical Thermal Effect Modeling Platform User Manual OTemp: Optical Thermal Effect Modeling Platform User Manual Version 1., July 214 Mobile Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices Technical Report CS-213-2 Jun Pang Department of Computer Science Duke University pangjun@cs.duke.edu Chris Dwyer Department

More information

Presentation Overview

Presentation Overview Low-cost WDM Transceiver Technology for 10-Gigabit Ethernet and Beyond Brian E. Lemoff, Lisa A. Buckman, Andrew J. Schmit, and David W. Dolfi Agilent Laboratories Hot Interconnects 2000 Stanford, CA August

More information

Electro-Optic Modulators Workshop

Electro-Optic Modulators Workshop Electro-Optic Modulators Workshop NUSOD 2013 Outline New feature highlights Electro-optic modulators Circuit level view Modulator categories Component simulation and parameter extraction Electro-optic

More information

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 1-215 Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures James David Coddington Follow

More information

Innovations in Photonic Integration Platforms

Innovations in Photonic Integration Platforms Innovations in Photonic Integration Platforms September 20, 20 Burgeoning Growth Demand Disruptive Technology Video content is fast becoming a larger percentage of total internet traffic 50% Video services

More information

An integrated recirculating optical buffer

An integrated recirculating optical buffer An integrated recirculating optical buffer Hyundai Park, John P. Mack, Daniel J. Blumenthal, and John E. Bowers* University of California, Santa Barbara, Department of Electrical and Computer Engineering,

More information

Module 19 : WDM Components

Module 19 : WDM Components Module 19 : WDM Components Lecture : WDM Components - II Objectives In this lecture you will learn the following OADM Optical Circulators Bidirectional OADM using Optical Circulators and FBG Optical Cross

More information

A continuous-wave Raman silicon laser

A continuous-wave Raman silicon laser A continuous-wave Raman silicon laser Haisheng Rong, Richard Jones,.. - Intel Corporation Ultrafast Terahertz nanoelectronics Lab Jae-seok Kim 1 Contents 1. Abstract 2. Background I. Raman scattering II.

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

RF Interconnects for Communications On-chip*

RF Interconnects for Communications On-chip* RF Interconnects for Communications On-chip* M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO

Foundry processes for silicon photonics. Pieter Dumon 7 April 2010 ECIO Foundry processes for silicon photonics Pieter Dumon 7 April 2010 ECIO Photonics Research Group http://photonics.intec.ugent.be epixfab Prototyping Training Multi project wafer access to silicon photonic

More information

The Study on the Effect Factors of Single-mode Fiber Optical Signal Transmission Time Delay Hechuan1, a

The Study on the Effect Factors of Single-mode Fiber Optical Signal Transmission Time Delay Hechuan1, a 4th International Conference on Mechatronics, Materials, Chemistry and Computer Engineering (ICMMCCE 2015) The Study on the Effect Factors of Single-mode Fiber Optical Signal Transmission Time Delay Hechuan1,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks Luan H. K. Duong, Student Member,

More information

Title. Author(s)Fujisawa, Takeshi; Koshiba, Masanori. CitationOptics Letters, 31(1): Issue Date Doc URL. Rights. Type.

Title. Author(s)Fujisawa, Takeshi; Koshiba, Masanori. CitationOptics Letters, 31(1): Issue Date Doc URL. Rights. Type. Title Polarization-independent optical directional coupler Author(s)Fujisawa, Takeshi; Koshiba, Masanori CitationOptics Letters, 31(1): 56-58 Issue Date 2006 Doc URL http://hdl.handle.net/2115/948 Rights

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Yaming Li, Chong Li, Chuanbo Li, Buwen Cheng, * and Chunlai Xue State Key Laboratory on Integrated Optoelectronics,

More information

4x100GE through 2 and 10km SMF Using DMT and 1.3mm LAN-WDM EMLs. Winston Way, Trevor Chan, NeoPhotonics, USA

4x100GE through 2 and 10km SMF Using DMT and 1.3mm LAN-WDM EMLs. Winston Way, Trevor Chan, NeoPhotonics, USA 4x100GE through 2 and 10km SMF Using and 1.3mm LAN-WDM EMLs Winston Way, Trevor Chan, NeoPhotonics, USA IEEE802.3 400GbE Study Group, November 2013 Objectives Study the technical feasibility of using to

More information

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS B Szelag CEA-Leti OUTLINE Silicon photonic : 200mm CMOS core technology towards 300mm Emergent needs vs core process Technological

More information

Workshop on Coherent Phenomena in Disordered Optical Systems May Slow-light Propagation in Photonic Nano-Structures

Workshop on Coherent Phenomena in Disordered Optical Systems May Slow-light Propagation in Photonic Nano-Structures 2583-15 Workshop on Coherent Phenomena in Disordered Optical Systems 26-30 May 2014 Slow-light Propagation in Photonic Nano-Structures Jin HOU College of Electronics & Information Engineering, South-Central

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

Comparison of FMCW-LiDAR system with optical- and electricaldomain swept light sources toward self-driving mobility application

Comparison of FMCW-LiDAR system with optical- and electricaldomain swept light sources toward self-driving mobility application P1 Napat J.Jitcharoenchai Comparison of FMCW-LiDAR system with optical- and electricaldomain swept light sources toward self-driving mobility application Napat J.Jitcharoenchai, Nobuhiko Nishiyama, Tomohiro

More information

Bit error rate and cross talk performance in optical cross connect with wavelength converter

Bit error rate and cross talk performance in optical cross connect with wavelength converter Vol. 6, No. 3 / March 2007 / JOURNAL OF OPTICAL NETWORKING 295 Bit error rate and cross talk performance in optical cross connect with wavelength converter M. S. Islam and S. P. Majumder Department of

More information

Photonic Integrated Beamformer for Broadband Radio Astronomy

Photonic Integrated Beamformer for Broadband Radio Astronomy M. Burla, D. A. I. Marpaung, M. R. H. Khan, C. G. H. Roeloffzen Telecommunication Engineering group University of Twente, Enschede, The Netherlands P. Maat, K. Dijkstra ASTRON, Dwingeloo, The Netherlands

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Holistic Modeling and Analysis of Optical Electrical Interfaces for Inter/Intra-chip Interconnects Zhehui Wang, Student Member, IEEE,

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

Envisioning the Future of Optoelectronic Interconnects:

Envisioning the Future of Optoelectronic Interconnects: Envisioning the Future of Optoelectronic Interconnects: The Production Economics of InP and Si Platforms for 100G Ethernet LAN Transceivers Shan Liu Dr. Erica Fuchs Prof. Randolph Kirchain MIT Microphotonics

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Optical Transmission Fundamentals

Optical Transmission Fundamentals Optical Transmission Fundamentals F. Vasey, CERN-EP-ESE Context Technology HEP Specifics 12 Nov 2018 0 Context: Bandwidth Demand Internet traffic is growing at ~Moore s law Global interconnection bandwidth

More information

Four wave mixing and parametric amplification in Si-nano waveguides using reverse biased pnjunctions

Four wave mixing and parametric amplification in Si-nano waveguides using reverse biased pnjunctions Four wave mixing and parametric amplification in Si-nano waveguides using reverse biased pnjunctions for carrier removal E-Mail: petermann@tu-berlin.de Acknowledgements A.Gajda 1, G.Winzer 1, L.Zimmermann

More information

Optical Fiber Communications p. 1 Introduction p. 1 History of Optical Fibers p. 1 Optical Fibers Versus Metallic Cable Facilities p.

Optical Fiber Communications p. 1 Introduction p. 1 History of Optical Fibers p. 1 Optical Fibers Versus Metallic Cable Facilities p. Optical Fiber Communications p. 1 Introduction p. 1 History of Optical Fibers p. 1 Optical Fibers Versus Metallic Cable Facilities p. 2 Advantages of Optical Fiber Systems p. 3 Disadvantages of Optical

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Slot waveguide microring modulator on InP membrane

Slot waveguide microring modulator on InP membrane Andreou, S.; Millan Mejia, A.J.; Smit, M.K.; van der Tol, J.J.G.M. Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, 26-27 November 2015, Brussels, Belgium Published:

More information

Microwave and Optical Technology Letters. Minhui Yan, Qing-Yang Xu 1, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong

Microwave and Optical Technology Letters. Minhui Yan, Qing-Yang Xu 1, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong Page of 0 0 0 0 0 0 Schemes of Optical Power Splitter Nodes for Direct ONU-ONU Intercommunication Minhui Yan, Qing-Yang Xu, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong Department of Electrical and

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net 22 nd IEEE Workshop on Signal and Power Integrity, Brest, FRANCE May 25, 2018 Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net Akira Tsuchicya 1, Akitaka

More information

GHz-bandwidth optical filters based on highorder silicon ring resonators

GHz-bandwidth optical filters based on highorder silicon ring resonators GHz-bandwidth optical filters based on highorder silicon ring resonators Po Dong, 1* Ning-Ning Feng, 1 Dazeng Feng, 1 Wei Qian, 1 Hong Liang, 1 Daniel C. Lee, 1 B. J. Luff, 1 T. Banwell, 2 A. Agarwal,

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany Silicon Photonics in Optical Communications Lars Zimmermann, IHP, Frankfurt (Oder), Germany Outline IHP who we are Silicon photonics Photonic-electronic integration IHP photonic technology Conclusions

More information

Diffraction, Fourier Optics and Imaging

Diffraction, Fourier Optics and Imaging 1 Diffraction, Fourier Optics and Imaging 1.1 INTRODUCTION When wave fields pass through obstacles, their behavior cannot be simply described in terms of rays. For example, when a plane wave passes through

More information

On-chip two-mode division multiplexing using tapered directional coupler-based mode multiplexer and demultiplexer

On-chip two-mode division multiplexing using tapered directional coupler-based mode multiplexer and demultiplexer Downloaded from orbit.dtu.dk on: Feb 01, 2018 On-chip two-mode division multiplexing using tapered directional coupler-based mode multiplexer and demultiplexer Ding, Yunhong; Xu, Jing; Da Ros, Francesco;

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

CMOS-compatible multiple wavelength oscillator for on-chip optical interconnects

CMOS-compatible multiple wavelength oscillator for on-chip optical interconnects 1 CMOS-compatible multiple wavelength oscillator for on-chip optical interconnects Jacob S. Levy 1*, Alexander Gondarenko 1*, Mark A. Foster 2, Amy C. Turner-Foster 1, Alexander L. Gaeta 2 & Michal Lipson

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Appears in the Proceedings of the 16th Symposium on High Performance Interconnects (HOTI-16), August 2008 Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten

More information

GoToWebinar Housekeeping: attendee screen Lumerical Solutions, Inc.

GoToWebinar Housekeeping: attendee screen Lumerical Solutions, Inc. GoToWebinar Housekeeping: attendee screen 2012 Lumerical Solutions, Inc. GoToWebinar Housekeeping: your participation Open and hide your control panel Join audio: Choose Mic & Speakers to use VoIP Choose

More information

Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Photonics Group Department of Micro- and Nanosciences Aalto University

Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Photonics Group Department of Micro- and Nanosciences Aalto University Photonics Group Department of Micro- and Nanosciences Aalto University Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Last Lecture Topics Course introduction Ray optics & optical

More information

New silicon photonics technology delivers faster data traffic in data centers

New silicon photonics technology delivers faster data traffic in data centers Edition May 2017 Silicon Photonics, Photonics New silicon photonics technology delivers faster data traffic in data centers New transceiver with 10x higher bandwidth than current transceivers. Today, the

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris Ϯ, Avinash Kodi Ϯ and Ahmed Louri School of Electrical Engineering and Computer

More information

Cascaded Wavelength Division Multiplexing for Byte-Wide Optical Interconnects

Cascaded Wavelength Division Multiplexing for Byte-Wide Optical Interconnects UCRL-JC-129066 PREPRINT Cascaded Wavelength Division Multiplexing for Byte-Wide Optical Interconnects R.J. Deri S. Gemelos H.E. Garrett R.E. Haigh B.D. Henderer J.D. Walker M.E. Lowry This paper was prepared

More information

Radio over Fiber technology for 5G Cloud Radio Access Network Fronthaul

Radio over Fiber technology for 5G Cloud Radio Access Network Fronthaul Radio over Fiber technology for 5G Cloud Radio Access Network Fronthaul Using a highly linear fiber optic transceiver with IIP3 > 35 dbm, operating at noise level of -160dB/Hz, we demonstrate 71 km RF

More information

Chapter 8. Wavelength-Division Multiplexing (WDM) Part II: Amplifiers

Chapter 8. Wavelength-Division Multiplexing (WDM) Part II: Amplifiers Chapter 8 Wavelength-Division Multiplexing (WDM) Part II: Amplifiers Introduction Traditionally, when setting up an optical link, one formulates a power budget and adds repeaters when the path loss exceeds

More information

Ultra-Low-Loss Athermal AWG Module with a Large Number of Channels

Ultra-Low-Loss Athermal AWG Module with a Large Number of Channels Ultra-Low-Loss Athermal AWG Module with a Large Number of Channels by Junichi Hasegawa * and Kazutaka Nara * There is an urgent need for an arrayed waveguide grating (AWG), the device ABSTRACT that handles

More information