Silicon-Photonic Clos Networks for Global On-Chip Communication

Size: px
Start display at page:

Download "Silicon-Photonic Clos Networks for Global On-Chip Communication"

Transcription

1 Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute of Technology University of California, Berkeley

2 Our target manycore system 64-tile system 1 or more cores per tile 5 GHz clock ~20 W power budget for network 2 Ajay Joshi

3 On-chip network topology spectrum Mesh CMesh Clos Crossbar Increasing diameter Increasing radix 3 Ajay Joshi

4 Landscape of on-chip photonic networks Mesh CMesh Clos Crossbar [Shacham 07] [Petracca 08] [This work] [Vantrease 08] [Pan 09] [Psota 07] [Kirman 06] 4 Ajay Joshi

5 Outline Mesh CMesh Clos Crossbar Photonic interconnect technology Photonic networks Electrical vs Photonic networks 5 Ajay Joshi

6 Photonic technology Silicon photonic link 6 Ajay Joshi

7 Silicon photonic link Coupler Coupler loss = 1 db 7 Ajay Joshi

8 Silicon photonic link Ring modulator Energy spent in E-O conversion = fj/bt (independent of link length) Modulator insertion loss = 0 1 db 8 Ajay Joshi

9 Silicon photonic link Waveguide Waveguide loss = 0 5 db/cm 9 Ajay Joshi

10 Silicon photonic link Ring filter, photodetector Energy spent in O-E conversion = fj/bt (independent of link length) Photodetector loss = 0.1 db Receiver sensitivity = -20 dbm Filter drop loss = 1.5 db 10 Ajay Joshi

11 Silicon photonic link WDM Through ring loss = 1e-4 1e-2 db/ring Dense WDM (128 λ/wg, 10 Gbps/λ) improves bandwidth density 11 Ajay Joshi

12 Silicon photonic link Energy cost E-O-E conversion cost fj/bt (independent of length) Thermal tuning energy (increases with ring count) External laser power (dependent on losses in photonic devices) 12 Ajay Joshi

13 Electrical technology Repeaters Repeaters FF FF FF Design constraints Repeater inserted pipelined wires 22 nm technology 500 nm pitch 5 GHz clock Design parameters Wire width Repeater size Repeater spacing 10.0 mm 7.5 mm 5.0 mm 2.5 mm 1.0 mm 13 Ajay Joshi

14 Electrical technology Repeaters Repeaters FF FF FF Design constraints Repeater inserted pipelined wires 22 nm technology 500 nm pitch 5 GHz clock Design parameters Wire width Repeater size Repeater spacing 10.0 mm 7.5 mm 5.0 mm 2.5 mm 1.0 mm 14 Ajay Joshi

15 Electrical vs Optical links Energy cost Elec: Electrical Opt-A: Optical-Aggressive Opt-C: Optical-Conservative Optical laser power not shown (dependent on the physical layout) Thermal tuning energy Transmitter -Receiver energy 15 Ajay Joshi

16 Electrical vs Optical links Energy cost 6x 2x Optical laser power not shown (dependent on the physical layout) Thermal tuning energy Transmitter -Receiver energy 16 Ajay Joshi

17 Electrical vs Optical links Bandwidth density Repeaters Repeaters FF FF FF Repeater inserted pipelined wires 10 Gbps/μ Wavelength-division multiplexed photonic link 320 Gbps/μ 30x bandwidth density advantage using optical links 17 Ajay Joshi

18 Outline Mesh CMesh Clos Crossbar Photonic interconnect technology Photonic networks Electrical vs Photonic networks 18 Ajay Joshi

19 Outline Mesh CMesh Clos Crossbar Photonic Interconnect technology Photonic networks Electrical vs Photonic networks 19 Ajay Joshi

20 Distributed Multiplexer Crossbar Electrical design Photonic design 20 Ajay Joshi

21 Distributed Multiplexer Crossbar Electrical design Photonic design 21 Ajay Joshi

22 Centralized Multiplexer Crossbar Electrical design Photonic design 22 Ajay Joshi

23 Centralized Multiplexer Crossbar Electrical design Photonic design 23 Ajay Joshi

24 Photonic crossbar for a 64-tile system 24 Ajay Joshi

25 Photonic crossbar for a 64-tile system 25 Ajay Joshi

26 Photonic crossbar for a 64-tile system 26 Ajay Joshi

27 Photonic crossbar for a 64-tile system 27 Ajay Joshi

28 Photonic crossbar for a 64-tile system 64 tiles 64 waveguides (for tile throughput = 128 b/cyc) 128 modulators per tile 63 x 64 = 4032 ring filters per tile Total rings > 500K 10W (thermal tuning) 28 Ajay Joshi

29 Photonic device requirements in a crossbar Optical laser power (W) contour Percent area of photonic devices contour 29 Ajay Joshi

30 Photonic device requirements in a crossbar Optical laser power (W) contour Percent area of photonic devices contour Waveguide loss and Through loss limits for 2 W optical laser power (30% laser efficiency) constraint 30 Ajay Joshi

31 Outline Mesh CMesh Clos Crossbar Interconnect technologies Photonic networks Electrical vs Photonic networks 31 Ajay Joshi

32 Clos network using point-to-point channels Electrical design Photonic design 32 Ajay Joshi

33 Clos network using point-to-point channels Electrical design Photonic design 33 Ajay Joshi

34 Photonic Clos for a 64-tile system 34 Ajay Joshi

35 Photonic Clos for a 64-tile system 35 Ajay Joshi

36 Photonic Clos for a 64-tile system 36 Ajay Joshi

37 Photonic Clos for a 64-tile system 37 Ajay Joshi

38 Photonic Clos for a 64-tile system 64 tiles 56 waveguides (for tile throughput = 128 b/cyc) 128 modulators per cluster 128 ring filters per cluster Total rings 28K 0.56W (Thermal tuning) 38 Ajay Joshi

39 Photonic device requirements in a Clos Optical laser power (W) contour Percent area of photonic devices contour Waveguide loss and Through loss limits for 2 W optical laser power (30% laser efficiency) constraint 39 Ajay Joshi

40 Photonic device requirements in a Clos Optical laser power (W) contour Percent area of photonic devices contour Optical loss tolerance for Crossbar Optical loss tolerance for Clos 40 Ajay Joshi

41 Photonic Crossbar vs Photonic Clos Crossbar Clos 10 W power for thermal tuning circuits (1 μw/ring/k) 0.56 W power for thermal tuning circuits (1 μw/ring/k) For 2 W optical laser power For 2 W optical laser power Waveguide loss < 1 db/cm Waveguide loss < 2dB/cm Through loss < db/ring Through loss < 0.05 db/ring 41 Ajay Joshi

42 Outline Mesh CMesh Clos Crossbar Photonic interconnect technology Photonic networks Electrical vs Photonic networks 42 Ajay Joshi

43 Simulation setup Cycle-accurate microarchitectural simulator Traffic patterns based on partition application model Global traffic UR, P2D, P8D Local traffic P8C 64-tile system, 512-bit messages Events captured during simulations to calculate power CMesh Clos 43 Ajay Joshi

44 Power-Bandwidth tradeoff EClos PClos CMeshX2 Channel width = 128b Clos Channel width = 64b 44 Ajay Joshi

45 Power-Bandwidth tradeoff 2-3x on-chip power savings for global traffic (off-chip laser) EClos PClos CMeshX2 Channel width = 128b Clos Channel width = 64b 45 Ajay Joshi

46 Power-Bandwidth tradeoff EClos PClos EClos Comparable on-chip power for local traffic (off-chip laser) PClos CMeshX2 Channel width = 128b Clos Channel width = 64b Clos Channel width = 128b 46 Ajay Joshi

47 Conclusion Mesh CMesh Clos Crossbar Accurate baseline electrical design required Need to carefully account for the energy components in optical interconnects E-O-E conversion, Thermal tuning power, Optical laser power Clos network provides comparable throughput at lower energy for global traffic patterns More work required on the photonic device design 47 Ajay Joshi

48 Acknowledgement MIT photonic device team Franz Kärtner, Rajeev Ram, Judy Hoyt, Henry Smith Jason Orcutt, Anatoly Khilo, Benjamin Moss, Charles Holzwarth, Jonathan Leu, Michael Georgas, Jie Sun, Miloš Popović, Hanqing Li Funding sources DARPA Intel Corp. 48 Ajay Joshi

49 Backup 49 Ajay Joshi

50 Clos network using intermediate crossbar Electrical design Photonic design 50 Ajay Joshi

51 Clos network using intermediate crossbar Electrical design Photonic design 51 Ajay Joshi

52 Clos network using intermediate crossbar Electrical design Photonic design 52 Ajay Joshi

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun and Vladimir Stojanović Massachusetts Institute of Technology CICC 2011

More information

More-than-Moore with Integrated Silicon-Photonics. Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley

More-than-Moore with Integrated Silicon-Photonics. Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley More-than-Moore with Integrated Silicon-Photonics Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley 1 Acknowledgments Milos Popović (Boulder/BU), Rajeev Ram, Jason Orcutt, Hanqing Li (MIT),

More information

Designing Future VLSI Systems with Monolithically Integrated Silicon-Photonics

Designing Future VLSI Systems with Monolithically Integrated Silicon-Photonics Designing Future VLSI Systems with Monolithically Integrated Silicon-Photonics Vladimir Stojanović University of California, Berkeley SSCS DL Lecture University of Texas, Austin November, 2013 2 Acknowledgments

More information

Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics. Vladimir Stojanović MIT

Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics. Vladimir Stojanović MIT Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics Vladimir Stojanović MIT SSCS DL series Santa Clara, CA, November, 2012 Acknowledgments Rajeev Ram, Henry Smith, Hanqing Li

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Appears in the Proceedings of the 16th Symposium on High Performance Interconnects (HOTI-16), August 2008 Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris Ϯ, Avinash Kodi Ϯ and Ahmed Louri School of Electrical Engineering and Computer

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Engineering of Integrated Devices on Electro-Optical Chip: Grating Couplers, Algorithms, and Switches

Engineering of Integrated Devices on Electro-Optical Chip: Grating Couplers, Algorithms, and Switches Engineering of Integrated Devices on Electro-Optical Chip: Grating Couplers, Algorithms, and Switches by Stevan Lj. Urošević M.Eng. Electrical and Computer Engineering, University of Novi Sad, Faculty

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Avinash Kodi, Kyle Shiflett, Savas Kaya and Soumyasanta Laha Department of Electrical Engineering and Computer Science Ohio University,

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mohamed Chaibi*, Laurent Bramerie, Sébastien Lobo, Christophe Peucheret *chaibi@enssat.fr FOTON

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling Sixth IEEE/ACM International Symposium on Networks-on-Chip DSENT A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling Chen Sun, Chia-Hsin Owen Chen, George

More information

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Christopher Nitta, Matthew Farrens, and Venkatesh Akella University of California, Davis Davis, CA 95616 Email: cjnitta@ucdavis.edu,

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

Thermal Management of Manycore Systems with Silicon-Photonic Networks

Thermal Management of Manycore Systems with Silicon-Photonic Networks Thermal Management of Manycore Systems with Silicon-Photonic Networks Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun Electrical and Computer Engineering Department, Boston University, Boston,

More information

Integrated Circuits for Wavelength Division De-multiplexing in the Electrical Domain

Integrated Circuits for Wavelength Division De-multiplexing in the Electrical Domain Integrated Circuits for Wavelength Division De-multiplexing in the Electrical Domain 1 H.C. Park, 1 M. Piels, 2 E. Bloch, 1 M. Lu, 1 A. Sivanathan, 3 Z. Griffith, 1 L. Johansson, 1 J. Bowers, 1 L. Coldren,

More information

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop,

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices Technical Report CS-213-2 Jun Pang Department of Computer Science Duke University pangjun@cs.duke.edu Chris Dwyer Department

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Chief Architect at Samtec, Inc Outline Interconnect Solutions Mid-Board Optical Modules Silicon Photonics o Benefits o Challenges DragonFly

More information

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany

Silicon Photonics in Optical Communications. Lars Zimmermann, IHP, Frankfurt (Oder), Germany Silicon Photonics in Optical Communications Lars Zimmermann, IHP, Frankfurt (Oder), Germany Outline IHP who we are Silicon photonics Photonic-electronic integration IHP photonic technology Conclusions

More information

Module 19 : WDM Components

Module 19 : WDM Components Module 19 : WDM Components Lecture : WDM Components - II Objectives In this lecture you will learn the following OADM Optical Circulators Bidirectional OADM using Optical Circulators and FBG Optical Cross

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

BANDWIDTH LIMITATIONS IN FUTURE MANY-CORE PROCESSORS. THIS ARTICLE FIRST

BANDWIDTH LIMITATIONS IN FUTURE MANY-CORE PROCESSORS. THIS ARTICLE FIRST ... UILING ANY-ORE PROESSOR-TO-RA NETWORKS WITH ONOLITHI OS SILION PHOTONIS... hristopher atten Ajay Joshi Jason Orcutt Anatol Khilo enjamin oss harles W. Holzwarth iloš A. Popović Hanqing Li Henry I.

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues

More information

Innovations in Photonic Integration Platforms

Innovations in Photonic Integration Platforms Innovations in Photonic Integration Platforms September 20, 20 Burgeoning Growth Demand Disruptive Technology Video content is fast becoming a larger percentage of total internet traffic 50% Video services

More information

Multi-wavelength laser generation with Bismuthbased Erbium-doped fiber

Multi-wavelength laser generation with Bismuthbased Erbium-doped fiber Multi-wavelength laser generation with Bismuthbased Erbium-doped fiber H. Ahmad 1, S. Shahi 1 and S. W. Harun 1,2* 1 Photonics Research Center, University of Malaya, 50603 Kuala Lumpur, Malaysia 2 Department

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun, and Vladimir Stojanović Department of Electrical Engineering and Computer

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

Photonics and Optical Communication Spring 2005

Photonics and Optical Communication Spring 2005 Photonics and Optical Communication Spring 2005 Final Exam Instructor: Dr. Dietmar Knipp, Assistant Professor of Electrical Engineering Name: Mat. -Nr.: Guidelines: Duration of the Final Exam: 2 hour You

More information

11.1 Gbit/s Pluggable Small Form Factor DWDM Optical Transceiver Module

11.1 Gbit/s Pluggable Small Form Factor DWDM Optical Transceiver Module INFORMATION & COMMUNICATIONS 11.1 Gbit/s Pluggable Small Form Factor DWDM Transceiver Module Yoji SHIMADA*, Shingo INOUE, Shimako ANZAI, Hiroshi KAWAMURA, Shogo AMARI and Kenji OTOBE We have developed

More information

New silicon photonics technology delivers faster data traffic in data centers

New silicon photonics technology delivers faster data traffic in data centers Edition May 2017 Silicon Photonics, Photonics New silicon photonics technology delivers faster data traffic in data centers New transceiver with 10x higher bandwidth than current transceivers. Today, the

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

AS core count increases in manycore systems to support

AS core count increases in manycore systems to support IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 5, MAY 2017 801 Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation José

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Wavelength and bandwidth-tunable silicon comb filter based on Sagnac loop mirrors with Mach- Zehnder interferometer couplers

Wavelength and bandwidth-tunable silicon comb filter based on Sagnac loop mirrors with Mach- Zehnder interferometer couplers Wavelength and bandwidth-tunable silicon comb filter based on Sagnac loop mirrors with Mach- Zehnder interferometer couplers Xinhong Jiang, 1 Jiayang Wu, 1 Yuxing Yang, 1 Ting Pan, 1 Junming Mao, 1 Boyu

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

High-Performance, Scalable Optical Network-On- Chip Architectures

High-Performance, Scalable Optical Network-On- Chip Architectures UNLV Theses, Dissertations, Professional Papers, and Capstones 8-1-2013 High-Performance, Scalable Optical Network-On- Chip Architectures Xianfang Tan University of Nevada, Las Vegas, yanshu08@gmail.com

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

Experimental analysis of two measurement techniques to characterize photodiode linearity

Experimental analysis of two measurement techniques to characterize photodiode linearity Experimental analysis of two measurement techniques to characterize photodiode linearity The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Graphene electro-optic modulator with 30 GHz bandwidth

Graphene electro-optic modulator with 30 GHz bandwidth Graphene electro-optic modulator with 30 GHz bandwidth Christopher T. Phare 1, Yoon-Ho Daniel Lee 1, Jaime Cardenas 1, and Michal Lipson 1,2,* 1School of Electrical and Computer Engineering, Cornell University,

More information

Large spontaneous emission rate enhancement in a III-V antenna-led

Large spontaneous emission rate enhancement in a III-V antenna-led Large spontaneous emission rate enhancement in a III-V antenna-led Seth A. Fortuna 1, Christopher Heidelberger 2, Nicolas M. Andrade 1, Eugene A. Fitzgerald 2, Eli Yablonovitch 1, and Ming C. Wu 1 1 University

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Monolithic, Athermal Optical A/D Filter

Monolithic, Athermal Optical A/D Filter Monolithic, Athermal Optical A/D Filter Vivek Raghunathan, Jurgen Michel and Lionel C. Kimerling Microphotonics Center, Massachusetts Institute of Technology, USA Collaborators: Prof. Karen K. Gleason,

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS By ZHONGQI LI A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF

More information

EPIC: The Convergence of Electronics & Photonics

EPIC: The Convergence of Electronics & Photonics EPIC: The Convergence of Electronics & Photonics K-Y Tu, Y.K. Chen, D.M. Gill, M. Rasras, S.S. Patel, A.E. White ell Laboratories, Lucent Technologies M. Grove, D.C. Carothers, A.T. Pomerene, T. Conway

More information

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 Submitted to the Graduate Faculty of the Swanson School of Engineering

More information

Design of an Optical Submarine Network With Longer Range And Higher Bandwidth

Design of an Optical Submarine Network With Longer Range And Higher Bandwidth Design of an Optical Submarine Network With Longer Range And Higher Bandwidth Yashas Joshi 1, Smridh Malhotra 2 1,2School of Electronics Engineering (SENSE) Vellore Institute of Technology Vellore, India

More information

Monolithic Integra/on of O-band Photonic Transceivers in a Zero-change 32nm SOI CMOS

Monolithic Integra/on of O-band Photonic Transceivers in a Zero-change 32nm SOI CMOS Monolithic Integra/on of O-band Photonic Transceivers in a Zero-change 32nm SOI CMOS S. Moazeni 1, A. Atabaki 2, D. Cheian 2, S. Lin 1, R. J. Ram 2, and V. Stojanović 1 1 Department of EECS, University

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Scalable Electro-optical Assembly Techniques for Silicon Photonics

Scalable Electro-optical Assembly Techniques for Silicon Photonics Scalable Electro-optical Assembly Techniques for Silicon Photonics Bert Jan Offrein, Tymon Barwicz, Paul Fortier OIDA Workshop on Manufacturing Trends for Integrated Photonics Outline Broadband large channel

More information

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Prof. Utpal Das Professor, Department of Electrical Engineering, Laser Technology Program, Indian Institute of

More information

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O Connor To cite this version: Hui Li, Sébastien

More information

Photonic Integrated Beamformer for Broadband Radio Astronomy

Photonic Integrated Beamformer for Broadband Radio Astronomy M. Burla, D. A. I. Marpaung, M. R. H. Khan, C. G. H. Roeloffzen Telecommunication Engineering group University of Twente, Enschede, The Netherlands P. Maat, K. Dijkstra ASTRON, Dwingeloo, The Netherlands

More information

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours

UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING. FINAL EXAMINATION, April 2017 DURATION: 2.5 hours UNIVERSITY OF TORONTO FACULTY OF APPLIED SCIENCE AND ENGINEERING ECE4691-111 S - FINAL EXAMINATION, April 2017 DURATION: 2.5 hours Optical Communication and Networks Calculator Type: 2 Exam Type: X Examiner:

More information

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects 160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects Fuad Doany, Clint Schow, Jeff Kash C. Baks, D. Kuchta, L. Schares, & R. John IBM T. J. Watson Research Center doany@us.ibm.com

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1-1 Preface Telecommunication lasers have evolved substantially since the introduction of the early AlGaAs-based semiconductor lasers in the late 1970s suitable for transmitting

More information

AWG OPTICAL DEMULTIPLEXERS: FROM DESIGN TO CHIP. D. Seyringer

AWG OPTICAL DEMULTIPLEXERS: FROM DESIGN TO CHIP. D. Seyringer AWG OPTICAL DEMULTIPLEXERS: FROM DESIGN TO CHIP D. Seyringer Research Centre for Microtechnology, Vorarlberg University of Applied Sciences, Hochschulstr. 1, 6850 Dornbirn, Austria, E-mail: dana.seyringer@fhv.at

More information

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) !

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) ! Components of Optical Networks Based on: Rajiv Ramaswami, Kumar N. Sivarajan, Optical Networks A Practical Perspective 2 nd Edition, 2001 October, Morgan Kaufman Publishers Optical Components! Couplers!

More information

WHITE PAPER LINK LOSS BUDGET ANALYSIS TAP APPLICATION NOTE LINK LOSS BUDGET ANALYSIS

WHITE PAPER LINK LOSS BUDGET ANALYSIS TAP APPLICATION NOTE LINK LOSS BUDGET ANALYSIS TAP APPLICATION NOTE LINK LOSS BUDGET ANALYSIS WHITE PAPER JULY 2017 1 Table of Contents Basic Information... 3 Link Loss Budget Analysis... 3 Singlemode vs. Multimode... 3 Dispersion vs. Attenuation...

More information

Opto-VLSI-based reconfigurable photonic RF filter

Opto-VLSI-based reconfigurable photonic RF filter Research Online ECU Publications 29 Opto-VLSI-based reconfigurable photonic RF filter Feng Xiao Mingya Shen Budi Juswardy Kamal Alameh This article was originally published as: Xiao, F., Shen, M., Juswardy,

More information

D6.3: Evaluation of the 2nd generation 2x2 PLATON optical interconnect router

D6.3: Evaluation of the 2nd generation 2x2 PLATON optical interconnect router ICT - Information and Communication Technologies Merging Plasmonics and Silicon Photonics Technology towards Tb/s routing in optical interconnects Collaborative Project Grant Agreement Number 249135 D6.3:

More information

Pitch Reducing Optical Fiber Array Two-Dimensional (2D)

Pitch Reducing Optical Fiber Array Two-Dimensional (2D) PROFA Pitch Reducing Optical Fiber Array Two-Dimensional (2D) Pitch Reducing Optical Fiber Arrays (PROFAs) provide low loss coupling between standard optical fibers and photonic integrated circuits. Unlike

More information

Extending the Offset Frequency Range of the D2-135 Offset Phase Lock Servo by Indirect Locking

Extending the Offset Frequency Range of the D2-135 Offset Phase Lock Servo by Indirect Locking Extending the Offset Frequency Range of the D2-135 Offset Phase Lock Servo by Indirect Locking Introduction The Vescent Photonics D2-135 Offset Phase Lock Servo is normally used to phase lock a pair of

More information

Holography Transmitter Design Bill Shillue 2000-Oct-03

Holography Transmitter Design Bill Shillue 2000-Oct-03 Holography Transmitter Design Bill Shillue 2000-Oct-03 Planned Photonic Reference Distribution for Test Interferometer The transmitter for the holography receiver is made up mostly of parts that are already

More information

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Mar-2017 Presentation outline Project key facts Motivation Project objectives Project

More information

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Yan Zheng 1,2, Peter Lisherness 2, Ming Gao 2, Jock Bovington 2, Shiyuan Yang 1, and Kwang-Ting Cheng 2 1. Department of

More information

Silicon Nanophotonics for Many-Core On-Chip Networks

Silicon Nanophotonics for Many-Core On-Chip Networks University of Colorado, Boulder CU Scholar Electrical, Computer & Energy Engineering Graduate Theses & Dissertations Electrical, Computer & Energy Engineering Spring 4-1-2013 Silicon Nanophotonics for

More information

CA92009-O O Band (1260 ~ 1360 nm) Tunable Laser Source

CA92009-O O Band (1260 ~ 1360 nm) Tunable Laser Source CA92009-O O Band (1260 ~ 1360 nm) Tunable Laser Source Specification Ver 1.00 (Nov., 2016) www.ali-us.com Product Description This specification describes and defines Advanced Lab Instruments CA92009-O

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 A Holistic Modeling and Analysis of Optical Electrical Interfaces for Inter/Intra-chip Interconnects Zhehui Wang, Student Member, IEEE,

More information

CHAPTER 4 RESULTS. 4.1 Introduction

CHAPTER 4 RESULTS. 4.1 Introduction CHAPTER 4 RESULTS 4.1 Introduction In this chapter focus are given more on WDM system. The results which are obtained mainly from the simulation work are presented. In simulation analysis, the study will

More information

Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Customized Computing for Power Efficiency. There are Many Options to Improve Performance ustomized omputing for Power Efficiency Jason ong cong@cs.ucla.edu ULA omputer Science Department http://cadlab.cs.ucla.edu/~cong There are Many Options to Improve Performance Page 1 Past Alternatives

More information

CMOS-compatible dual-output silicon modulator for analog signal processing

CMOS-compatible dual-output silicon modulator for analog signal processing CMOS-compatible dual-output silicon modulator for analog signal processing S. J. Spector 1*, M. W. Geis 1, G.-R.Zhou 2, M. E. Grein 1, F. Gan 2, M.A. Popović 2, J. U. Yoon 1, D. M. Lennon 1, E. P. Ippen

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers John E. Bowers, Jared Hulme, Tin Komljenovic, Mike Davenport and Chong Zhang Department of Electrical and Computer Engineering

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

WWDM Transceiver Module for 10-Gb/s Ethernet

WWDM Transceiver Module for 10-Gb/s Ethernet WWDM Transceiver Module for 10-Gb/s Ethernet Brian E. Lemoff Hewlett-Packard Laboratories lemoff@hpl.hp.com IEEE 802.3 HSSG Interim Meeting Coeur d Alene, Idaho June 1-3, 1999 Why pursue WWDM for the LAN?

More information