Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Size: px
Start display at page:

Download "Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects"

Transcription

1 Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop, in conjunction with DATE 2018 March 23 rd 2018, Dresden Germany Jiating Luo, Cedric Killian, Sebastien Le Beux, Daniel Chillet, Olivier Sentieys, and Ian O Connor Offline optimization of wavelength allocation and laser power in nanophotonic interconnects. ACM J. Emerg.Technol. (January 2018). DOI: / Institut de Recherche en Informatique et Systèmes Aléatoires

2 Context Basic concepts of photonic Network-on-Chip l l n Source: [3] C. Sciancalepore, et al. [4] W. Bogaerts et al., 2013 P crossing l n l = ln P drop [5] Z. Wang et al [6] L. Vivien et al λ 0 λ 0 p p 2 Silicon waveguide: Handle multiple optical signal (wavelength) transmissions On-Chip Laser: Transform electric signal to optical signal Ring Micro-resonator: If on, move one optical signal from one waveguide to another If off, do nothing Wevelenght dependent PhotoDetector: Convert optical signal to electric signal On-chip laser with direct modulation for λ x Add-Drop filter for λ x Photodetector OFF ON OFF ON λ x λ x 4

3 Context Global view of a multicore based on ONoC λ 0 λ 0 p p 2 5

4 Context Global view of a multicore based on ONoC λ 0 λ λ 0 1 λ 0 01 λ 0 p 1 1 p 4 p 2 01 λ 0 λ0 λ p 3 6

5 Context Adapt the communication performances Wavelenght Data Modulation (WDM) Communication resources are shared Wavelength allocations impact application performances λ 0 λ 1 λ 2 λ 3 p 0 p 1 p 2 p 3 No wavelength available between P 0 and P 3 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 t 8 t On-chip laser with direct modulation for λ x Add-Drop filter for λ x Photodetector OFF ON OFF ON λ x λ x [7] J. Luo et al,

6 Context Dynamic Energy losses in ONoC Illustration of crosstalk a b p i p i 100 FSR 100 FSR Transmission on drop port (%) Transmission on drop port (%) 0 λ 0 +FSR λ signal (nm) 0 λ 0 +FSR λ signal (nm) Pin SNR < SNR Pin Received optical 0Power λ 0 +FSR λ signal (nm) Wavelength Allocations impact on the SNR signal Xtalk By targeting a given Bit Error Rate, a degradation of SNR results as an increase on Laser Power è increase Energy of communication. More Wavelengths in parallel for a communication increase performance, but increase energy. è How to provide a tradeoff between energy and performance? ç Received optical 0Power λ 0 +FSR λ signal (nm) 10

7 Context Accuracy for laser power tuning impacts Energy N P_lvl = Emitted optical N P_lvl =2 Power (uw) N P_lvl = i bias i 1 i 2 i 3 i 4 I laser (ma) t P out p 0 0 λ 0 λ 1 λ 2 λ signal (nm) t t More power levels è fine tuning of power Laser è Lower energy consumption But increase laser driver complexity. In fact, how many power level are really required? 13

8 Outline 1. Context, key elements of ONoCs, and problematics 2. Our vision on Energy Vs Performance tradeoffs 3. How to generate a set of wavelenght allocations 4. Case Study and trends 5. Conclusions 14

9 Our vision: mapping of communications depends on needs c 0à1 t 0 t 1 t 2 c 0à2 c 1à2 On-chip laser with direct modulation for λ x Low power High power OFF (β pj/bit) (α pj/bit) Add-Drop filter for λ x OFF λ x ON λ x Photodetector Energy d a c b Execution time a λ 0 λ 1 λ 2 λ 3 p 0 p 1 p 2 b λ 0 λ 1 λ 2 λ 3 p 0 p 1 p 2 c λ 0 λ 1 λ 2 λ 3 p 0 p 1 p 2 d λ 0 λ 1 λ 2 λ 3 λ 0 λ 1 λ 2 λ 3 p 0 p 1 p 2 [7] J. Luo et al,

10 Outline 1. Context, key elements of ONoCs, and problematics 2. Our vision on Energy Vs Performance tradeoffs 3. How to generate a set of wavelenght allocations 4. Case Study and trends 5. Conclusions 17

11 How to to generate a set of wavelenght allocations Application Task graph Architecture [8] Le Beux et Al System specification ONoC interface Mapping p 0 t 0 TSVs t 0 t 1 t 2 IP core p 3 Configuration Manager p 2 -Task execution time -Data size -BER requirements Optical layer: ONoC Electrical layer : cores -nb of wavelengths -nb of waveguides -nb of interfaces -distance between interfaces t 3 p 2 t 1 Technological parameters λ 0 Energy number of power level (N P_lvl ) maximum power data rate loss sensitivity Transmission Δ λ Solutions Cf g 4 Cf g 3 Cf g 2 Execution time population Individual (example) Offline multi-objective Optimization t 0 t x t 0 Simulation t 0 task t 1 Selection Mutations Crossovers Simulation Simulation t t 2 1 t 1 t 2 communication t 2 remove individual Constraints verification Estimation: -execution time -energy [7] J. Luo et al,

12 Outline 1. Context, key elements of ONoCs, and problematics 2. Our vision on Energy Vs Performance tradeoffs 3. How to generate a set of wavelenght allocations 4. Case Study and trends 5. Conclusions 19

13 Case Study and trends Simple generic application Application Task graph Mapping t 0 t 1 ONoC interface t 0 5kcc C 0à3 6kb t 3 5kcc C 2à3 6kb C 3à5 8kb Op0cal layer: ONoC t 4 t 5 t 3 t 2 TSVs IP core C 1à2 C 2à4 C 4à5 t 1 t 2 t 4 t 4kb 5 8kb 4kb Electrical layer : cores 5kcc 5kcc 5kcc 5kcc Parameter Value Waveguide propagation loss db/cm Photodetector sensitivity -20 dbm Laser efficiency 15% λ FSR 3dB MR bandwidth 0.4 nm 8 nm 0.26 nm [7] J. Luo et al,

14 Case Study and trends Simple generic application Impact on number of wavelengths Increase number of wavelengths à may improve perf but increase energy consumption Targeted BER:

15 Case Study and trends Simple generic application Impact on number of power levels of laser Increase power levels à decrease energy consumption Targeted BER: and 8 wavelenghts, NP Lvl =3 è level power [1,2.5,4] mw NP Lvl =5 è level power [1,1.75,2.5,3.25,4] mw NP Lvl =7 è level power [1,1.5,2,2.5,3,3.5,4] mw 22

16 Case Study and trends Simple generic application Energy and performance trade-off capabilities Energy High perf d c b Execution time Low power 44% energy reduction 71 % execution time reduction èoffers possible tuning of 44% energy reduction or 71% execution time reductionç 26

17 Bandwidth distribution Power distribution Bandwidth distribution Power distribution Case Study and trends Power and wavelengths distribution Np lvl = 8 and Nb λ = 8 Energy High perf d c b Execution time Low power Low power 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% Bandwidth allocation 1 λ TG1 TG2 TG3 TG4 TG5 TG6 TG7 TG8 Graph ID 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% Power distribution 2 mw 4 mw 6 mw 8 mw 10 mw TG1 TG2 TG3 TG4 TG5 TG6 TG7 TG8 Graph ID High performance 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 1 λ 2 λ 3λ 4λ 5λ 6 λ 7λ 8 λ TG1 TG2 TG3 TG4 TG5 TG6 TG7 TG8 Graph ID 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 2 mw 4 mw 6 mw 8 mw 10 mw TG1 TG2 TG3 TG4 TG5 TG6 TG7 TG8 Graph ID 27

18 Outline 1. Context, key elements of ONoCs, and problematics 2. Our vision on Energy Vs Performance tradeoffs 3. How to generate a set of wavelenght allocations 4. Case Study and trends 5. Conclusions 28

19 Conclusions Introduction of key concepts: Performance on ONoC with WDM Energy problematics with Crosstalks and Laser power levels Be careful on allocation when performing WDM! Directly impact performance and energy We proposed a framework to provide a set of wavelength allocations, each providing an energy-performance tradeoff Multi-parameters as input (technological, applications, optimization algorithm, ) Offers possible tuning of 44% energy reduction or 71% execution time reduction 29

20 Thanks to Collaborators: Daniel CHILLET, Olivier SENTIEYS, Jiating LUO, Van-dung PHAM Sebastien LE BEUX, Ian O CONNOR Financers: 30

21 References [1] Semiconductor Industry Association, ITRS, Online, URL: [2] : A. Karkar et al. A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores. In:IEEE Circuits and Systems Magazine. [3] C. Sciancalepore et al., "Thermal, Modal, and Polarization Features of Double Photonic Crystal Vertical-Cavity Surface-Emitting Lasers," in IEEE Photonics Journal, vol. 4, no. 2, pp , April [4] W. Bogaerts et al. Technologies and Building Blocks for On-Chip Optical Interconnects,books [5] Zhao Wang, Dixon Paez, Ahmed I. Abd El-Rahman, Peng Wang, Liam Dow, John C. Cartledge, and Andrew P. Knights, "Resonance control of a silicon micro-ring resonator modulator under highspeed operation using the intrinsic defect-mediated photocurrent," Opt. Express 25, (2017) [6] Laurent Vivien, Johann Osmond, Jean-Marc Fédéli, Delphine Marris-Morini, Paul Crozat, Jean- François Damlencourt, Eric Cassan, Y. Lecunff, and Suzanne Laval, "42 GHz p.i.n Germanium photodetector integrated in a silicon-on-insulator waveguide," Opt. Express 17, (2009) [7] Jiating Luo, Cedric Killian, Sebastien Le Beux, Daniel Chillet, Olivier Sentieys, and Ian O Connor Online optimization of wavelength allocation and laser power in nanophotonic interconnects. ACM J. Emerg.Technol. Comput. Syst.1, 1, Article 1 (January 2018),19pages. DOI: / [8] S. Le Beux et al. Chameleon: Channel efficient Optical Network-on-Chip. In:2014 Design, Automation Test in Europe Conference Exhibition (DATE). Mar [9] C. Killian et al. Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques. In: DAC [6] J. Luo et al,

22 Thanks! Questions? Institut de Recherche en Informatique et Systèmes Aléatoires

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O Connor To cite this version: Hui Li, Sébastien

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Florenta Costache Group manager Smart Micro-Optics SMO/AMS Fraunhofer Institute for Photonic Microsystems,

More information

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud Data centers Optical telecommunications Environment Interconnects Silicon

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks Luan H. K. Duong, Student Member,

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mohamed Chaibi*, Laurent Bramerie, Sébastien Lobo, Christophe Peucheret *chaibi@enssat.fr FOTON

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

OTemp: Optical Thermal Effect Modeling Platform User Manual

OTemp: Optical Thermal Effect Modeling Platform User Manual OTemp: Optical Thermal Effect Modeling Platform User Manual Version 1., July 214 Mobile Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

PERFORMANCE ENHANCEMENT OF OPTICAL MICRORING RESONATOR USING TAGUCHI METHOD EXPERIMENTAL DESIGN

PERFORMANCE ENHANCEMENT OF OPTICAL MICRORING RESONATOR USING TAGUCHI METHOD EXPERIMENTAL DESIGN PERFORMANCE ENHANCEMENT OF OPTICAL MICRORING RESONATOR USING TAGUCHI METHOD EXPERIMENTAL DESIGN H. Haroon, H. A. Razak and N. N. A. Aziz Centre for Telecommunications Research Innovations (CETRI), Faculty

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide

High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide [ APPLIED PHYSICS LETTERS ] High-speed Ge photodetector monolithically integrated with large cross silicon-on-insulator waveguide Dazeng Feng, Shirong Liao, Roshanak Shafiiha. etc Contents 1. Introduction

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar.

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar. ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION OUTLINE Motivations Interposer technologies for manycores Our goal An optically interconnected manycore on interposer Silicon

More information

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Design, Modelling, Fabrication & Characterization Piero Orlandi 1 Possible Approaches Reduced Design time Transparent Technology Shared

More information

Detectors for Optical Communications

Detectors for Optical Communications Optical Communications: Circuits, Systems and Devices Chapter 3: Optical Devices for Optical Communications lecturer: Dr. Ali Fotowat Ahmady Sep 2012 Sharif University of Technology 1 Photo All detectors

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Improve Chip Pin Performance Using Optical Interconnects Zhehui Wang, Student Member, IEEE, JiangXu,Member, IEEE, Peng Yang, Student Member,

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

In Search of the Elusive All-Optical Packet Buffer

In Search of the Elusive All-Optical Packet Buffer In Search of the Elusive All-Optical Packet Buffer Rod Tucker Centre for Ultra-Broadband Information Networks (CUBIN) Department for Electrical and Electronic Engineering University of Melbourne, Australia

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 016 Lecture 7: Transmitter Analysis Sam Palermo Analog & Mixed-Signal Center Texas A&M University Optical Modulation Techniques

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

OEIL Manual. Interconnect Design. Sensitivity Analysis. Attenuation Analysis. Crosstalk Noise Analysis. Bandwidth Density

OEIL Manual. Interconnect Design. Sensitivity Analysis. Attenuation Analysis. Crosstalk Noise Analysis. Bandwidth Density OEIL Manual Version 3.0 December 2015 Optical/Photonic Technology for Interconnected Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

Photonic Integrated Beamformer for Broadband Radio Astronomy

Photonic Integrated Beamformer for Broadband Radio Astronomy M. Burla, D. A. I. Marpaung, M. R. H. Khan, C. G. H. Roeloffzen Telecommunication Engineering group University of Twente, Enschede, The Netherlands P. Maat, K. Dijkstra ASTRON, Dwingeloo, The Netherlands

More information

Design and Analysis of Resonant Leaky-mode Broadband Reflectors

Design and Analysis of Resonant Leaky-mode Broadband Reflectors 846 PIERS Proceedings, Cambridge, USA, July 6, 8 Design and Analysis of Resonant Leaky-mode Broadband Reflectors M. Shokooh-Saremi and R. Magnusson Department of Electrical and Computer Engineering, University

More information

High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform

High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform High-efficiency fiber-to-chip grating couplers realized using an advanced CMOS-compatible Silicon-On-Insulator platform D. Vermeulen, 1, S. Selvaraja, 1 P. Verheyen, 2 G. Lepage, 2 W. Bogaerts, 1 P. Absil,

More information

Scalable Electro-optical Assembly Techniques for Silicon Photonics

Scalable Electro-optical Assembly Techniques for Silicon Photonics Scalable Electro-optical Assembly Techniques for Silicon Photonics Bert Jan Offrein, Tymon Barwicz, Paul Fortier OIDA Workshop on Manufacturing Trends for Integrated Photonics Outline Broadband large channel

More information

High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems

High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems 64 Annual report 1998, Dept. of Optoelectronics, University of Ulm High-Power Semiconductor Laser Amplifier for Free-Space Communication Systems G. Jost High-power semiconductor laser amplifiers are interesting

More information

Vertical p-i-n germanium photodetector with high external responsivity integrated with large core Si waveguides

Vertical p-i-n germanium photodetector with high external responsivity integrated with large core Si waveguides Vertical p-i-n germanium photodetector with high external responsivity integrated with large core Si waveguides Ning-Ning Feng* 1, Po Dong 1, Dawei Zheng 1, Shirong Liao 1, Hong Liang 1, Roshanak Shafiiha

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

WITH the vast rise in parallel multicore architectures, the

WITH the vast rise in parallel multicore architectures, the JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 34, NO. 12, JUNE 15, 2016 2975 Comprehensive Design Space Exploration of Silicon Photonic Interconnects Meisam Bahadori, Student Member, IEEE, Sébastien Rumley, Member,

More information

Linear cavity erbium-doped fiber laser with over 100 nm tuning range

Linear cavity erbium-doped fiber laser with over 100 nm tuning range Linear cavity erbium-doped fiber laser with over 100 nm tuning range Xinyong Dong, Nam Quoc Ngo *, and Ping Shum Network Technology Research Center, School of Electrical & Electronics Engineering, Nanyang

More information

Opto-VLSI-based reconfigurable photonic RF filter

Opto-VLSI-based reconfigurable photonic RF filter Research Online ECU Publications 29 Opto-VLSI-based reconfigurable photonic RF filter Feng Xiao Mingya Shen Budi Juswardy Kamal Alameh This article was originally published as: Xiao, F., Shen, M., Juswardy,

More information

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux

Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Silicon Photonics for Mid-Board Optical Modules Marc Epitaux Chief Architect at Samtec, Inc Outline Interconnect Solutions Mid-Board Optical Modules Silicon Photonics o Benefits o Challenges DragonFly

More information

Photonics and Optical Communication Spring 2005

Photonics and Optical Communication Spring 2005 Photonics and Optical Communication Spring 2005 Final Exam Instructor: Dr. Dietmar Knipp, Assistant Professor of Electrical Engineering Name: Mat. -Nr.: Guidelines: Duration of the Final Exam: 2 hour You

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

UNIT - 7 WDM CONCEPTS AND COMPONENTS

UNIT - 7 WDM CONCEPTS AND COMPONENTS UNIT - 7 WDM CONCEPTS AND COMPONENTS WDM concepts, overview of WDM operation principles, WDM standards, Mach-Zehender interferometer, multiplexer, Isolators and circulators, direct thin film filters, active

More information

Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Photonics Group Department of Micro- and Nanosciences Aalto University

Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Photonics Group Department of Micro- and Nanosciences Aalto University Photonics Group Department of Micro- and Nanosciences Aalto University Optical Amplifiers Photonics and Integrated Optics (ELEC-E3240) Zhipei Sun Last Lecture Topics Course introduction Ray optics & optical

More information

Compact Trench-Based Silicon-On-Insulator Rib Waveguide Ring Resonator With Large Free Spectral Range

Compact Trench-Based Silicon-On-Insulator Rib Waveguide Ring Resonator With Large Free Spectral Range Brigham Young University BYU ScholarsArchive All Faculty Publications 2009-12-01 Compact Trench-Based Silicon-On-Insulator Rib Waveguide Ring Resonator With Large Free Spectral Range Seunghyun Kim Gregory

More information

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC

Waveguide Bragg Gratings and Resonators LUMERICAL SOLUTIONS INC Waveguide Bragg Gratings and Resonators JUNE 2016 1 Outline Introduction Waveguide Bragg gratings Background Simulation challenges and solutions Photolithography simulation Initial design with FDTD Band

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Supplementary Information "Large-scale integration of wavelength-addressable all-optical memories in a photonic crystal chip" SUPPLEMENTARY INFORMATION Eiichi Kuramochi*, Kengo Nozaki, Akihiko Shinya,

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

for optical communication system

for optical communication system High speed Ge waveguide detector for optical communication system Xingjun Wang, Zhijuan Tu and Zhiping Zhou State Key Laboratory of Advanced Optical Communication Systems and Networks, School of Electronics

More information

InP-based Waveguide Photodetector with Integrated Photon Multiplication

InP-based Waveguide Photodetector with Integrated Photon Multiplication InP-based Waveguide Photodetector with Integrated Photon Multiplication D.Pasquariello,J.Piprek,D.Lasaosa,andJ.E.Bowers Electrical and Computer Engineering Department University of California, Santa Barbara,

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Putting PICs in Products A Practical Guideline. Katarzyna Ławniczuk

Putting PICs in Products A Practical Guideline. Katarzyna Ławniczuk Putting PICs in Products A Practical Guideline Katarzyna Ławniczuk k.lawniczuk@brightphotonics.eu Outline Product development considerations Selecting PIC technology Design flow and design tooling considerations

More information

MODetector (MOD): A Dual-Functional Optical Modulator- Detector for On-Chip Communication

MODetector (MOD): A Dual-Functional Optical Modulator- Detector for On-Chip Communication MODetector (MOD): A Dual-Functional Optical Modulator- Detector for On-Chip Communication SHUAI SUN 1, RUOYU ZHANG 1, JIAXIN PENG 1, VIKRAM NARAYANA 1, HAMED DALIR 2, TAREK EL- GHAZAWI 1, AND VOLKER J.

More information

Silicon photonics integration roadmap for applications in computing systems

Silicon photonics integration roadmap for applications in computing systems Silicon photonics integration roadmap for applications in computing systems Bert Jan Offrein Neuromorphic Devices and Systems Group 2016 IBM Corporation Outline Photonics and computing? The interconnect

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics

Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics Physical Layer Modelling of Semiconductor Optical Amplifier Based Terabit/second Switch Fabrics K.A. Williams, E.T. Aw*, H. Wang*, R.V. Penty*, I.H. White* COBRA Research Institute Eindhoven University

More information

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique Chien-Hung Yeh 1, *, Ming-Ching Lin 3, Ting-Tsan Huang 2, Kuei-Chu Hsu 2 Cheng-Hao Ko 2, and Sien Chi

More information

Long-wavelength VCSELs ready to benefit 40/100-GbE modules

Long-wavelength VCSELs ready to benefit 40/100-GbE modules Long-wavelength VCSELs ready to benefit 40/100-GbE modules Process technology advances now enable long-wavelength VCSELs to demonstrate the reliability needed to fulfill their promise for high-speed module

More information

Optical Receivers Theory and Operation

Optical Receivers Theory and Operation Optical Receivers Theory and Operation Photo Detectors Optical receivers convert optical signal (light) to electrical signal (current/voltage) Hence referred O/E Converter Photodetector is the fundamental

More information

Silicon Nanophotonics for Many-Core On-Chip Networks

Silicon Nanophotonics for Many-Core On-Chip Networks University of Colorado, Boulder CU Scholar Electrical, Computer & Energy Engineering Graduate Theses & Dissertations Electrical, Computer & Energy Engineering Spring 4-1-2013 Silicon Nanophotonics for

More information

Performance of A Multicast DWDM Network Applied to the Yemen Universities Network using Quality Check Algorithm

Performance of A Multicast DWDM Network Applied to the Yemen Universities Network using Quality Check Algorithm Performance of A Multicast DWDM Network Applied to the Yemen Universities Network using Quality Check Algorithm Khaled O. Basulaim, Samah Ali Al-Azani Dept. of Information Technology Faculty of Engineering,

More information

JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 31, NO. 16, AUGUST 15,

JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 31, NO. 16, AUGUST 15, JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 31, NO. 16, AUGUST 15, 2013 2785 Fabrication-Tolerant Four-Channel Wavelength- Division-Multiplexing Filter Based on Collectively Tuned Si Microrings Peter De Heyn,

More information

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi

Optical Amplifiers. Continued. Photonic Network By Dr. M H Zaidi Optical Amplifiers Continued EDFA Multi Stage Designs 1st Active Stage Co-pumped 2nd Active Stage Counter-pumped Input Signal Er 3+ Doped Fiber Er 3+ Doped Fiber Output Signal Optical Isolator Optical

More information

Lecture 4 INTEGRATED PHOTONICS

Lecture 4 INTEGRATED PHOTONICS Lecture 4 INTEGRATED PHOTONICS What is photonics? Photonic applications use the photon in the same way that electronic applications use the electron. Devices that run on light have a number of advantages

More information

A WDM passive optical network enabling multicasting with color-free ONUs

A WDM passive optical network enabling multicasting with color-free ONUs A WDM passive optical network enabling multicasting with color-free ONUs Yue Tian, Qingjiang Chang, and Yikai Su * State Key Laboratory of Advanced Optical Communication Systems and Networks, Department

More information

CHAPTER 4 RESULTS. 4.1 Introduction

CHAPTER 4 RESULTS. 4.1 Introduction CHAPTER 4 RESULTS 4.1 Introduction In this chapter focus are given more on WDM system. The results which are obtained mainly from the simulation work are presented. In simulation analysis, the study will

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. DOI: 10.1038/NPHOTON.2016.233 A monolithic integrated photonic microwave filter Javier S. Fandiño 1, Pascual Muñoz 1,2, David Doménech 2 & José Capmany

More information

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005 OPTICAL NETWORKS Building Blocks A. Gençata İTÜ, Dept. Computer Engineering 2005 Introduction An introduction to WDM devices. optical fiber optical couplers optical receivers optical filters optical amplifiers

More information

Comparison between strip and rib SOI microwaveguides for intra-chip light distribution

Comparison between strip and rib SOI microwaveguides for intra-chip light distribution Optical Materials 27 (2005) 756 762 www.elsevier.com/locate/optmat Comparison between strip and rib SOI microwaveguides for intra-chip light distribution L. Vivien a, *, F. Grillot a, E. Cassan a, D. Pascal

More information

Eye-Diagram-Based Evaluation of RZ and NRZ Modulation Methods in a 10-Gb/s Single-Channel and a 160-Gb/s WDM Optical Networks

Eye-Diagram-Based Evaluation of RZ and NRZ Modulation Methods in a 10-Gb/s Single-Channel and a 160-Gb/s WDM Optical Networks International Journal of Optics and Applications 2017, 7(2): 31-36 DOI: 10.5923/j.optics.20170702.01 Eye-Diagram-Based Evaluation of RZ and NRZ Modulation Methods in a 10-Gb/s Single-Channel and a 160-Gb/s

More information

Advances in Widely Tunable Lasers Richard Schatz Laboratory of Photonics Royal Institute of Technology

Advances in Widely Tunable Lasers Richard Schatz Laboratory of Photonics Royal Institute of Technology Advances in Widely Tunable Lasers Richard Schatz Laboratory of Photonics Royal Institute of Technology Tunability of common semiconductor lasers Widely tunable laser types Syntune MGY laser: tuning principle

More information

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators

Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Semiconductor Optical Communication Components and Devices Lecture 39: Optical Modulators Prof. Utpal Das Professor, Department of Electrical Engineering, Laser Technology Program, Indian Institute of

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

Chapter 3 Signal Degradation in Optical Fibers

Chapter 3 Signal Degradation in Optical Fibers What about the loss in optical fiber? Why and to what degree do optical signals gets distorted as they propagate along a fiber? Fiber links are limited by in path length by attenuation and pulse distortion.

More information

Signal processing for on-chip space division multiplexing

Signal processing for on-chip space division multiplexing Signal processing for on-chip space division multiplexing Christophe Peucheret, Yunhong Ding, Jing Xu, Francesco Da Ros, Alberto Parini, Haiyan Ou To cite this version: Christophe Peucheret, Yunhong Ding,

More information

Optoelectronic Oscillator Topologies based on Resonant Tunneling Diode Fiber Optic Links

Optoelectronic Oscillator Topologies based on Resonant Tunneling Diode Fiber Optic Links Optoelectronic Oscillator Topologies based on Resonant Tunneling Diode Fiber Optic Links Bruno Romeira* a, José M. L Figueiredo a, Kris Seunarine b, Charles N. Ironside b, a Department of Physics, CEOT,

More information

Bit error rate and cross talk performance in optical cross connect with wavelength converter

Bit error rate and cross talk performance in optical cross connect with wavelength converter Vol. 6, No. 3 / March 2007 / JOURNAL OF OPTICAL NETWORKING 295 Bit error rate and cross talk performance in optical cross connect with wavelength converter M. S. Islam and S. P. Majumder Department of

More information

Spatial Investigation of Transverse Mode Turn-On Dynamics in VCSELs

Spatial Investigation of Transverse Mode Turn-On Dynamics in VCSELs Spatial Investigation of Transverse Mode Turn-On Dynamics in VCSELs Safwat W.Z. Mahmoud Data transmission experiments with single-mode as well as multimode 85 nm VCSELs are carried out from a near-field

More information

193nm immersion lithography for high performance silicon photonic circuits

193nm immersion lithography for high performance silicon photonic circuits Invited Paper 193nm immersion lithography for high performance silicon photonic circuits Shankar Kumar Selvaraja, Gustaf Winroth, Sabrina Locorotondo, Gayle Murdoch, Alexey Milenin, Christie Delvaux, Patrick

More information

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) !

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) ! Components of Optical Networks Based on: Rajiv Ramaswami, Kumar N. Sivarajan, Optical Networks A Practical Perspective 2 nd Edition, 2001 October, Morgan Kaufman Publishers Optical Components! Couplers!

More information

Silicon Photonics: an Industrial Perspective

Silicon Photonics: an Industrial Perspective Silicon Photonics: an Industrial Perspective Antonio Fincato Advanced Programs R&D, Cornaredo, Italy OUTLINE 2 Introduction Silicon Photonics Concept 300mm (12 ) Photonic Process Main Silicon Photonics

More information

Wavelength Division Multiplexing Passive Optical Network (WDM-PON) technologies for future access networks

Wavelength Division Multiplexing Passive Optical Network (WDM-PON) technologies for future access networks JOURNAL OF ENGINEERING RESEARCH AND TECHNOLOGY, VOLUME 2, ISSUE 1, MARCH 2015 Wavelength Division Multiplexing Passive Optical Network (WDM-PON) technologies for future access networks Fady I. El-Nahal

More information

e-newsletter Issue 3 August 2013

e-newsletter Issue 3 August 2013 e-newsletter Issue 3 August 2013 Compact OTDM / WDM Optical Receivers based on Photonic Crystal Integrated Circuits Welcome from the Coordinator! Welcome to our third and final project e-newsletter! From

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 1: Introduction Sam Palermo Analog & Mixed-Signal Center Texas A&M University Class Topics System and design issues

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Elements of Optical Networking

Elements of Optical Networking Bruckner Elements of Optical Networking Basics and practice of optical data communication With 217 Figures, 13 Tables and 93 Exercises Translated by Patricia Joliet VIEWEG+ TEUBNER VII Content Preface

More information

High-speed modulation of a compact silicon ring resonator based on a reverse-biased pn diode

High-speed modulation of a compact silicon ring resonator based on a reverse-biased pn diode High-speed modulation of a compact silicon ring resonator based on a reverse-biased pn diode F.Y. Gardes 1 *, A. Brimont 2, P. Sanchis 2, G. Rasigade 3, D. Marris-Morini 3, L. O'Faolain 4, F. Dong 4, J.M.

More information

AS THE YEAR 2020 approaches, performance scaling of

AS THE YEAR 2020 approaches, performance scaling of Energy-Performance Optimized Design of Silicon Photonic Interconnection Networks for High-Performance Computing Meisam Bahadori,Sébastien Rumley, Robert Polster, Alexander Gazman, Matt Traverso, Mark Webster,

More information

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates

Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates Bidirectional Optical Data Transmission 77 Integrated Optoelectronic Chips for Bidirectional Optical Interconnection at Gbit/s Data Rates Martin Stach and Alexander Kern We report on the fabrication and

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information