PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

Size: px
Start display at page:

Download "PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs"

Transcription

1 PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and Computer Science Ohio University, Athens OH, USA 7 th International Symposium on Networks-on-Chip (NOCS), April 2-24, 203 Contact Website:

2 Multicores & Networks-on-Chip TILE-Gx72 [] 80-core Intel TeraFlops [2] 2880-core KEPLER (Nvidia) [3] With increasing number of cores, communication-centric design paradigm is becoming important (Networks-on-Chip) Energy for communication is increasing Delivered throughput is decreasing [] [2] [3] NOCS-3 TEAL 2

3 Energy Discrepancy & Throughput Energy discrepancy between computation and global communication with technology scaling Need to reduce global communication energy Relative Compute Energy Interconnect Energy Technology (nm) Source: Shekar Borkar, Intel Reduced throughput due to aggressive voltage and clock scaling On-die energy: Interconnect Compute Tile Power: Intel Tera-Flops (65 nm) [] Need to provide scalable bandwidth without sacrificing performance Potential Solutions: Nanophotonics, Wireless, 3D Stacking [] Y. Hoskote, A 5-GHz Mesh Interconnect for A Teraflops Processor, IEEE Computer Society, 2007 pp. 5-6 NOCS-3 TEAL 3

4 Why Photonics? Photonics provides Low energy (7.9 fj/bit) Small footprint (~2.5 μm) High bandwidth (~40 Gbps) Low latency (0.45 ps/mm) CMOS compatible. L. Xu, W. Zhang, Q. Li, J. Chan, H. L. R. Lira, M. Lipson, K. Bergman, 40-Gb/s DPSK Data Transmission Through a Silicon Microring Switch," IEEE Photonics Technology Letters S. Manipatruni, K. Preston, L. Chen, and M. Lipson, Ultra-low voltage, ultra-small mode volume silicon microring modulator, Opt. Express 8, (200) NOCS-3 TEAL 4

5 Nanophotonic Link Buffer Chain Photodetector TIA Limiting Amplifier Driver for Electronics Micro-ring resonator T x T x T x T x R x R x R x R x λ λ 2 λ 3 λ 4 λ λ 2 λ 3 λ 4 Off-Chip Laser Core A Core B Laser power Compensates for a variety of light losses along its path Trimming power Microring resonators are sensitive to temperature variations. They require additional trimming power to maintain their resonant wavelength NOCS-3 TEAL 5

6 Power Breakdown Static Power Challenge 00% 80% 60% 40% 20% Laser Trimming Power Others (routing, O/E, E/O conversion) More than 60% of total power budget! 0% Radix-32 SWMR Corona Flexishare The off-chip laser source and on-chip microring resonators trimming power represent the majority of network power NOCS-3 TEAL 6

7 PROBE: Targeting on the static power (Preview) Key goal Save significant static optical power while meeting performance constraints Hardware mechanisms Tunable splitters -> adaptive channels Binary-tree based waveguide Global and local bandwidth controllers Approach Traffic load prediction Dynamic bandwidth scaling on the background Three pre-defined bandwidth modes Main results Static power savings more than 60%, with % penalty on throughput and 20% on execution time. NOCS-3 TEAL 7

8 Outline Introduction & Motivation PROBE Architecture & Implementation Traffic Prediction Dynamic Bandwidth Scaling Performance Analysis Conclusions & Future Work NOCS-3 TEAL 8

9 Architecture (/2) Tile L 0 R 0 R R 2 R 3 L L 4 L 5 R 4 R 5 R 6 R 7 L 2 L 3 L 6 L L 8 L 9 L 2 L 3 R 8 R 9 R 0 R R 2 R 3 R 4 R 5 L 0 L L 4 L R: router, L: laser, : voltage regulator NOCS-3 TEAL 9

10 Splitter Key component essential components for signal distribution in optical networks splits a signal from a single waveguide into a large number of waveguides Passive splitter Fixed power ratio Power inefficient Tunable splitter [2] Tunable power ratio More flexibility Tuning Range: 0~99% Tuning speed: 6ns Power loss 0.2~0.8dB CMOS compatible (0.9V, 5~40μm) [] Dest. Dest. 2 Dest. 3 Dest. 4 [] M. Olivero and M. Svalgaard, UV-written Integrated Optical xn Splitter, Optics Express, Vol. 4 Issue, pp (2006) [2] R. Thapliya, T. Kikuchi, and S. Nakamura, Tunable Power Splitter Based on An Electro-optic Multimode Interference Device, Journal of Applied optics, vol. 46, no. 9, NOCS-3 TEAL 0

11 Channel Design - Prototype [] Optical Signal (-α )(-e ) (-α )(- α 2 )(-e )(-e 2 ) 2 2 =/4 =/3 =/2 α: power ratio e: the access optical power loss β: power portion in that branch β = α (-e ) β 2 = α 2 (- α )(-e )(-e 2 ) 3 3 β 3 = α 3 (- α )(- α 2 )(-e )(-e 2 )(-e 3 ) Branch 4 β 4 = (- α )(- α 2 )(-α 3 )(-e )(-e 2 )(-e 3 ) e =e 2 =e 3 [] B. Z. Fu, Y. H. Han, H. W. Li, and X. W. Li, Accelerating Lightpath Setup Via Broadcasting in Binary-Tree Waveguide in Optical NoCs, In Proceedings of the Conference on Design, Automation and Test in Europe (DATE), pp , 200. NOCS-3 TEAL

12 Channel Design - Four Power State (/2) Pstate Pstate 2 /3 / Branch 4 β 4 =(/4)(-e) 3 Bw=.28Tb/s Branch 4 β 4 0 Bw=960Gb/s NOCS-3 TEAL 2

13 Channel Design - Four Power State (2/2) Pstate BW (Tb/s) α α2 α3 power loss (db).28 /4 /3 / /3 / /2 NA NA NA 0.2 Pstate Pstate 2 Pstate 3 Pstate Branch 4 β 4 =(/4)(-e) 3 Branch 4 β 4 0 Branch 4 β 4 0 Branch 4 β 4 0 Bw=.28Tb/s Bw=960Gb/s Bw=640Gb/s Bw=320Gb/s NOCS-3 TEAL 3

14 Waveguide Design Three-level binary-tree-based waveguide α (2,) 2 Channel To R Laser 0 α (,) α (2,2) Level direction Level 2 channel Level 3 branch Channels To R 4, R 8, R 2 Channel 2 To R2 Channel 3 To R3 X direction Y direction NOCS-3 TEAL 4

15 Traffic Prediction (/2) Traffic indicators Link and buffer utilization [] First predictor - for low traffic variation Second predictor - for high traffic variation Based on the prior work which is inspired by history-based branch predictor and the observation of repetitive behavior of real traffic [2] [] X. Chen, L-S. Peh, G-Y. Wei, Y-K. Huang, and P. Prucnal, Exploring the Design Space of Power-Ware Opto-electronic Network Systems, International Symposium on High-Performance Computer Architecture (HPCA), pp. 20-3, [2] Y. S-C. Huang, K. C-K. Chou, C-T King, Application-Driven End-to-End Traffic Predictions for Low Power NoC Design, In IEEE Transactions on Very Large Scale Integration System, pp. -0, 202. NOCS-3 TEAL 5

16 Traffic Prediction (2/2) Second predictor - History based Channel #. H5 H4 H3 H2 H H0 0(i, x, 0) (i, x, ) (i, x, 2) (i, y, 0) Link level HTPT: History traffic pattern table H5~H: History traffic pattern, H0: current link utilization Link Util 0.0~ ~ ~ ~ ~.0 P: predicted traffic load Tag Index P LRU PT: Prediction table NOCS-3 TEAL 6

17 Dynamic Bandwidth Scaling (/3) Prediction Rw Lu, Bu Predict Rw Reconfiguration windows, set to 000 cycles in the simulation. Link and buffer utilization are gathered at each output port. Predict the resource utilization based on the traffic fluctuation. NOCS-3 TEAL 7

18 Dynamic Bandwidth Scaling (2/3) Prediction Rw Lu, Bu Predict Decision Bw Three modes Compare the predicted link utilization <-> pre-defined bandwidth. Performance mode (0.2 ~ 0.4), Balanced mode (0.4 ~ 0.6), Poweraware mode (0.6 ~ 0.8) Increase the bandwidth if over the upper bound, decrease if lower than the lower bound. Check the buffer utilization. NOCS-3 TEAL 8

19 Dynamic Bandwidth Scaling (3/3) Prediction Rw Lu, Bu Predict Decision Bw Three modes Tuning Lasers Microrings Calculate the splitter power ratios, and required laser power Tune the lasers, the splitters, and the on-chip microrings Delay is critical! Off-chip communication Tuning NOCS-3 TEAL 9

20 Outline Introduction & Motivation PROBE Architecture & Implementation Traffic Prediction Dynamic Bandwidth Scaling Performance Analysis Conclusions & Future Work NOCS-3 TEAL 20

21 Methodology 64-core system 5 GHz processor 64KB private L and 4MB per tile shared L2 caches, 4 GB DRAM, 60 cycle access latency, 6 on-chip DRAM controllers Detailed Networks-on-Chip Model Cycle-accurate simulator based on Booksim Virtual channel flow control (2 VCs, 6 flits buffer depth) 256 bits channel width Performance Analysis Latency, throughput, execution time, optical power Benchmarks SPLASH-2, PARSEC, and SPEC CPU 2006 traces Synthetic traffic pattern NOCS-3 TEAL 2

22 Latency (# of cycles) Latency (# of cycles) Load / Latency Curve Uniform Without PROBE Power-aware Mode Balanced Mode Performance Mode PROBE Bit Complement Without PROBE Power-aware Mode Balanced Mode Performance Mode PROBE 30 Fluctuation % Injection rate (flit/node/cycle) % Injection rate (flit/node/cycle) Power-aware Mode Fluctuation: link utilizations go back and forth over the boundary Throughput: at most % penalty compared to the baseline Balanced Mode and Performance Mode are approaching to the baseline and have different closing points. NOCS-3 TEAL 22

23 optical power consumption Latency (# of cycles) Latency vs. Optical Power (/2) Uniform Without PROBE Power-aware Mode Balanced Mode Performance Mode PROBE % Injection rate (flit/node/cycle) 25% 75% Critical point (injection rate) 0.05 (Three modes) 0.23 (Perf. Mode) 0.45 (Balanced Mode) Optical power saving 25% optical power saving due to % throughput loss (Poweraware Mode) Save ~75% optical power at low network load (Three modes) 0 NOCS-3 TEAL 23

24 optical power consumption optical power consumption Latency (# of cycles) Latency (# of cycles) Latency vs. Optical Power (2/2) Bit Complement Without PROBE Power-aware Mode Balanced Mode Performance Mode PROBE Transpose Without PROBE Power-aware Mode Balanced Mode Performance Mode PROBE % 0 4.7% Injection rate (flit/node/cycle) Injection rate (flit/node/cycle) % 75% % 75% 0 NOCS-3 TEAL 24 0

25 Normalized execution time Real Traffic Traces Exec. Time Without PROBE Performance Mode Balanced Mode Power-aware PROBE Performance Mode: close to the baseline Balanced Mode: % penalty on average Power-aware Mode: 25% penalty on average NOCS-3 TEAL 25

26 optical power consumption Real Traffic Traces Optical Power.2 Without PROBE Performance Mode Balanced Mode Power-aware PROBE Performance Mode: 59% more optical power saving Balanced Mode: 70% optical power saving on average Power-aware Mode: 72% optical power saving on average NOCS-3 TEAL 26

27 Conclusions The photonic interconnect design is boosted by the evolution of optical devices. PROBE is an energy-efficient solution to reduce the high static power consumption in photonic networks. PROBE further improves the on-chip resource utilization. NOCS-3 TEAL 27

28 Questions? THANK YOU! NOCS-3 TEAL 28

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris Ϯ, Avinash Kodi Ϯ and Ahmed Louri School of Electrical Engineering and Computer

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

- no emitters/amplifiers available. - complex process - no CMOS-compatible

- no emitters/amplifiers available. - complex process - no CMOS-compatible Advantages of photonic integrated circuits (PICs) in Microwave Photonics (MWP): compactness low-power consumption, stability flexibility possibility of aggregating optics and electronics functionalities

More information

Thermal Management of Manycore Systems with Silicon-Photonic Networks

Thermal Management of Manycore Systems with Silicon-Photonic Networks Thermal Management of Manycore Systems with Silicon-Photonic Networks Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun Electrical and Computer Engineering Department, Boston University, Boston,

More information

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar.

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar. ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION OUTLINE Motivations Interposer technologies for manycores Our goal An optically interconnected manycore on interposer Silicon

More information

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices Technical Report CS-213-2 Jun Pang Department of Computer Science Duke University pangjun@cs.duke.edu Chris Dwyer Department

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

Active Microring Based Tunable Optical Power Splitters

Active Microring Based Tunable Optical Power Splitters Active Microring Based Tunable Optical Power Splitters Eldhose Peter, Arun Thomas*, Anuj Dhawan*, Smruti R Sarangi Computer Science and Engineering, IIT Delhi, *Electronics and Communication Engineering,

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA Multiband RF-Interconnect for Reconfigurable Network-on-hip ommunications Jason ong (cong@cs.ucla.edu) Joint work with Frank hang, Glenn Reinman and Sai-Wang Tam ULA 1 ommunication hallenges On-hip Issues

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS By ZHONGQI LI A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Appears in the Proceedings of the 16th Symposium on High Performance Interconnects (HOTI-16), August 2008 Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten

More information

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Florenta Costache Group manager Smart Micro-Optics SMO/AMS Fraunhofer Institute for Photonic Microsystems,

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL., NO., MONTH YEAR : Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors Dominic DiTomaso, Student Member, IEEE, Avinash Kodi, Senior

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

1 Introduction. Research article

1 Introduction. Research article Nanophotonics 2018; 7(4): 727 733 Research article Huifu Xiao, Dezhao Li, Zilong Liu, Xu Han, Wenping Chen, Ting Zhao, Yonghui Tian* and Jianhong Yang* Experimental realization of a CMOS-compatible optical

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop,

More information

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 1-215 Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures James David Coddington Follow

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Christopher Nitta, Matthew Farrens, and Venkatesh Akella University of California, Davis Davis, CA 95616 Email: cjnitta@ucdavis.edu,

More information

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mohamed Chaibi*, Laurent Bramerie, Sébastien Lobo, Christophe Peucheret *chaibi@enssat.fr FOTON

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

In Search of the Elusive All-Optical Packet Buffer

In Search of the Elusive All-Optical Packet Buffer In Search of the Elusive All-Optical Packet Buffer Rod Tucker Centre for Ultra-Broadband Information Networks (CUBIN) Department for Electrical and Electronic Engineering University of Melbourne, Australia

More information

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Mar-2017 Presentation outline Project key facts Motivation Project objectives Project

More information

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005 OPTICAL NETWORKS Building Blocks A. Gençata İTÜ, Dept. Computer Engineering 2005 Introduction An introduction to WDM devices. optical fiber optical couplers optical receivers optical filters optical amplifiers

More information

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 Submitted to the Graduate Faculty of the Swanson School of Engineering

More information

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control Xuezhe Zheng, 1 Eric Chang, 2 Philip Amberg, 1 Ivan Shubin, 1 Jon Lexau, 2 Frankie Liu, 2

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Customized Computing for Power Efficiency. There are Many Options to Improve Performance ustomized omputing for Power Efficiency Jason ong cong@cs.ucla.edu ULA omputer Science Department http://cadlab.cs.ucla.edu/~cong There are Many Options to Improve Performance Page 1 Past Alternatives

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique Chien-Hung Yeh 1, *, Ming-Ching Lin 3, Ting-Tsan Huang 2, Kuei-Chu Hsu 2 Cheng-Hao Ko 2, and Sien Chi

More information

OTemp: Optical Thermal Effect Modeling Platform User Manual

OTemp: Optical Thermal Effect Modeling Platform User Manual OTemp: Optical Thermal Effect Modeling Platform User Manual Version 1., July 214 Mobile Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

Photonic Integrated Beamformer for Broadband Radio Astronomy

Photonic Integrated Beamformer for Broadband Radio Astronomy M. Burla, D. A. I. Marpaung, M. R. H. Khan, C. G. H. Roeloffzen Telecommunication Engineering group University of Twente, Enschede, The Netherlands P. Maat, K. Dijkstra ASTRON, Dwingeloo, The Netherlands

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Jason Cong, Glenn Reinman.

Jason Cong, Glenn Reinman. RF Interconnects for Communications On-chip 1 M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

EPIC: The Convergence of Electronics & Photonics

EPIC: The Convergence of Electronics & Photonics EPIC: The Convergence of Electronics & Photonics K-Y Tu, Y.K. Chen, D.M. Gill, M. Rasras, S.S. Patel, A.E. White ell Laboratories, Lucent Technologies M. Grove, D.C. Carothers, A.T. Pomerene, T. Conway

More information

On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration

On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration 1 On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration Sergi Abadal, Mario Iannazzo, Mario Nemirovsky, Albert Cabellos-Aparicio, Heekwan Lee

More information

Heinrich-Hertz-Institut Berlin

Heinrich-Hertz-Institut Berlin NOVEMBER 24-26, ECOLE POLYTECHNIQUE, PALAISEAU OPTICAL COUPLING OF SOI WAVEGUIDES AND III-V PHOTODETECTORS Ludwig Moerl Heinrich-Hertz-Institut Berlin Photonic Components Dept. Institute for Telecommunications,,

More information

High-Performance, Scalable Optical Network-On- Chip Architectures

High-Performance, Scalable Optical Network-On- Chip Architectures UNLV Theses, Dissertations, Professional Papers, and Capstones 8-1-2013 High-Performance, Scalable Optical Network-On- Chip Architectures Xianfang Tan University of Nevada, Las Vegas, yanshu08@gmail.com

More information

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Yan Zheng 1,2, Peter Lisherness 2, Ming Gao 2, Jock Bovington 2, Shiyuan Yang 1, and Kwang-Ting Cheng 2 1. Department of

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun and Vladimir Stojanović Massachusetts Institute of Technology CICC 2011

More information

The Past, Present, and Future of Silicon Photonics

The Past, Present, and Future of Silicon Photonics The Past, Present, and Future of Silicon Photonics Myung-Jae Lee High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering Yonsei University Outline Introduction A glance at history

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

Scaling Silicon *anophotonic Interconnects

Scaling Silicon *anophotonic Interconnects Scaling Silicon *anophotonic Interconnects "#$%& '()*+',-.$&. #*//0- )"1,$23+4/1/%&,. 0/4$'/# S+#$6+%,. 7+%$*+,8"%$- 7$'.+1 9$*#(% 1 Silicon *anophotonics2 Opportunities Optical I/O Capacity x distance

More information

Numerical Analysis and Optimization of a Multi-Mode Interference Polarization Beam Splitter

Numerical Analysis and Optimization of a Multi-Mode Interference Polarization Beam Splitter Numerical Analysis and Optimization of a Multi-Mode Interference Polarization Beam Splitter Y. D Mello*, J. Skoric, M. Hui, E. Elfiky, D. Patel, D. Plant Department of Electrical Engineering, McGill University,

More information

On-Chip Optical Interconnects: Prospects and Challenges

On-Chip Optical Interconnects: Prospects and Challenges 6th International Conference of Soft Computing and Pattern Recognition, August 11-14, 2014, Tunis, Tunisia On-Chip Optical Interconnects: Prospects and Challenges Abderazek Ben Abdallah The University

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Electrons Prohibited

Electrons Prohibited Electrons Prohibited Columbus, OH 43210 Jain@CIS.Ohio-State.Edu http://www.cis.ohio-state.edu/~jain Generations of Networks Recent Devices Networking Architectures and Examples Issues Electro-optic Bottleneck

More information

RF Interconnects for Communications On-chip*

RF Interconnects for Communications On-chip* RF Interconnects for Communications On-chip* M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

A WDM passive optical network enabling multicasting with color-free ONUs

A WDM passive optical network enabling multicasting with color-free ONUs A WDM passive optical network enabling multicasting with color-free ONUs Yue Tian, Qingjiang Chang, and Yikai Su * State Key Laboratory of Advanced Optical Communication Systems and Networks, Department

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides

Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Compact two-mode (de)multiplexer based on symmetric Y-junction and Multimode interference waveguides Yaming Li, Chong Li, Chuanbo Li, Buwen Cheng, * and Chunlai Xue State Key Laboratory on Integrated Optoelectronics,

More information

Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks

Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks Optical Networking in the Layered Internet Model Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks Bo Willén, KTH Problems Applications Keep contact Network access End Users

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

A single source microwave photonic filter using a novel single-mode fiber to multimode fiber coupling technique

A single source microwave photonic filter using a novel single-mode fiber to multimode fiber coupling technique A single source microwave photonic filter using a novel single-mode fiber to multimode fiber coupling technique John Chang, 1,* Mable P. Fok, 1,3 James Meister, 2 and Paul R. Prucnal 1 1 Lightwave Communication

More information

A HIGH SPEED WDM PON FOR DOWNSTREAM DPSK ASK SIGNALS AND UPSTREAM OOK SIGNAL WITH BROADCAST CAPABILTY

A HIGH SPEED WDM PON FOR DOWNSTREAM DPSK ASK SIGNALS AND UPSTREAM OOK SIGNAL WITH BROADCAST CAPABILTY A HIGH SPEED WDM PON FOR DOWNSTREAM DPSK ASK SIGNALS AND UPSTREAM OOK SIGNAL WITH BROADCAST CAPABILTY 1 AAMIR KHAN, 2 ANITA CHOPRA 1 Department of Information Technology, Suresh Gyan Vihar University,

More information

WWDM Transceiver Module for 10-Gb/s Ethernet

WWDM Transceiver Module for 10-Gb/s Ethernet WWDM Transceiver Module for 10-Gb/s Ethernet Brian E. Lemoff Hewlett-Packard Laboratories lemoff@hpl.hp.com IEEE 802.3 HSSG Interim Meeting Coeur d Alene, Idaho June 1-3, 1999 Why pursue WWDM for the LAN?

More information

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Optical Transceivers architecture is challenged Electrical Driver TIA Laser Photodiode Optical Optical

More information

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach Kjersti Kleven and Scott T. Dunham Department of Electrical Engineering University of Washington 27 September 27 Outline

More information

Silicon Nanophotonics for Many-Core On-Chip Networks

Silicon Nanophotonics for Many-Core On-Chip Networks University of Colorado, Boulder CU Scholar Electrical, Computer & Energy Engineering Graduate Theses & Dissertations Electrical, Computer & Energy Engineering Spring 4-1-2013 Silicon Nanophotonics for

More information

New silicon photonics technology delivers faster data traffic in data centers

New silicon photonics technology delivers faster data traffic in data centers Edition May 2017 Silicon Photonics, Photonics New silicon photonics technology delivers faster data traffic in data centers New transceiver with 10x higher bandwidth than current transceivers. Today, the

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Graphene electro-optic modulator with 30 GHz bandwidth

Graphene electro-optic modulator with 30 GHz bandwidth Graphene electro-optic modulator with 30 GHz bandwidth Christopher T. Phare 1, Yoon-Ho Daniel Lee 1, Jaime Cardenas 1, and Michal Lipson 1,2,* 1School of Electrical and Computer Engineering, Cornell University,

More information

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers John E. Bowers, Jared Hulme, Tin Komljenovic, Mike Davenport and Chong Zhang Department of Electrical and Computer Engineering

More information

Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited)

Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited) Silicon Photonics III Conference, Photonics West 2008, San Jose, CA, USA Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited) Andrew W. Poon, Fang Xu,

More information

Towards Energy-Propor1onal Op1cal Interconnects

Towards Energy-Propor1onal Op1cal Interconnects Towards Energy-Proporonal Opcal Interconnects Nikos Hardavellas, Northwestern University Yigit Demir, Computa8onal Lithography, Intel OPTICS Workshop March 8 th, 206 par8ally supported by NSF award CCF-453853

More information

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Design, Modelling, Fabrication & Characterization Piero Orlandi 1 Possible Approaches Reduced Design time Transparent Technology Shared

More information

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE 6 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 16, NO. 1, JANUARY/FEBRUARY 2010 High-Performance Modulators and Switches for Silicon Photonic Networks-on-Chip Benjamin G. Lee, Member, IEEE,

More information

Network Energy Performance of 5G Systems. Dr. Ylva Jading Senior Specialist Ericsson Research

Network Energy Performance of 5G Systems. Dr. Ylva Jading Senior Specialist Ericsson Research Network Energy Performance of 5G Systems Dr. Ylva Jading Senior Specialist Ericsson Research Network Energy Performance Targeting reduced energy consumption Economy Ecology Engineering The big picture

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

Photonic Integrated Circuit for Radio-Frequency Interference Cancellation

Photonic Integrated Circuit for Radio-Frequency Interference Cancellation Developing a Photonic Integrated Circuit for Radio-Frequency Interference Cancellation Matthew Chang, Monica Lu, Jenny Sun and Paul R. Prucnal Lightwave Communications Research Lab Princeton University

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005

inemi OPTOELECTRONICS ROADMAP FOR 2004 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 inemi OPTOELECTRONICS ROADMAP FOR 2004 0 Dr. Laura J. Turbini University of Toronto SMTA International September 26, 2005 Outline Business Overview Traditional vs Jisso Packaging Levels Optoelectronics

More information

Photonic time-stretching of 102 GHz millimeter waves using 1.55 µm nonlinear optic polymer EO modulators

Photonic time-stretching of 102 GHz millimeter waves using 1.55 µm nonlinear optic polymer EO modulators Photonic time-stretching of 10 GHz millimeter waves using 1.55 µm nonlinear optic polymer EO modulators H. Erlig Pacific Wave Industries H. R. Fetterman and D. Chang University of California Los Angeles

More information