Towards Energy-Propor1onal Op1cal Interconnects

Size: px
Start display at page:

Download "Towards Energy-Propor1onal Op1cal Interconnects"

Transcription

1 Towards Energy-Proporonal Opcal Interconnects Nikos Hardavellas, Northwestern University Yigit Demir, Computa8onal Lithography, Intel OPTICS Workshop March 8 th, 206 par8ally supported by NSF award CCF Photonics Need High Power Lasers Emergence of photonics High bandwidth, low latency, energy efficient Wide range of apps: manycores, mul8-chip, datacenters However, lasers are really power-hungry q Op8cal devices induce op8cal loss (3+ db is typical) q WDM-compa8ble lasers are 5 30% efficient 0 20x higher power than required op8cal output 2

2 Most of the Laser Power is Wasted Demir & Hardavellas [HPCA 5] [NOCS 5] [SPIE 5] [IPC 4] [ISLPED 4] Interconnect may stay idle for long 8mes q Compute-intensive execu8on phases of workloads q 30% server u8liza8on in data centers [Barroso 2007] But laser stays always on! q even during periods of interconnect inac8vity Up to 94% laser energy waste in real-world workloads 3 Proposed Soluon: Laser Power-Gang Turn the lasers off when interconnect is idle Turn the lasers on before sender transmits q This may be tricky needs early warning or predic8ve schemes Overlooked un8l recently q Tradi8onal comb lasers are slow to turn on New enabling technology: Fast on-off switching on-chip lasers q InP, Ge, Turn on/off in.5 2 ns q On-chip à simplify design and lower cost q [HPCA 5] [SPIE 5] [IPC 4] [ISLPED 4] 4

3 ProLaser: Energy-Proporonal Photonic Nets. Power saving mechanism for photonic interconnects q Laser power-ga8ng à Independent power ga8ng for data and control bits à Predicts laser turn-on à Saved power can be used by the cores Result highlights q Laser energy reduc8on: 42 88% (6% on avg.) q Processor energy reduc8on: 35 52% (40% on avg.) q Leads to 50 73% speedup (60% on avg.) q Within 2 6% of the theore8cally maximum savings 5 Architecture Assumed: Tiled Mulcore Dir Router Dir Router LLC slice LLC slice Tile Core, L Tile Core, L Dir Router Dir Router LLC slice LLC slice Tile Core, L Tile Core, L Dir Router Dir Router LLC slice LLC slice Tile Core, L Tile Core, L 6 Dir Router LLC slice Tile Core, L Dir Router LLC slice Tile Core, L Dir Router LLC slice Tile Core, L 6

4 Architecture Assumed: R-SWMR Opcal Crossbar Router 0 (Home) Data Bus D D 0 R R 0 Reservation Channel Router Router N-2 Router N- 7 Segregang Data from Control Bits Most on-chip messages are short. On average: 65% are Control Messages 88 bits 35% are Data Messages 600 bits WDM Laser WDM Laser WDM Laser WDM Laser WDM Laser WDM Laser Turn on the common bits (44) for all types of data Turn on the data-only bits (300) for data messages only 8 [LaC, Demir & Hardavellas, IPC 4] λ λ 2 λ N λ λ 2 λ N λ λ 2 λ N λ λ 2 λ N λ λ 2 λ N λ λ 2 λ N Data-Only Bits Common Bits Data Bus

5 Predicve Laser Turn-On: Communicaon Iniaon cycle Bloom LLC slice 0+ cycles Bloom filter monitors LLC q LLC access: ~4 cycles q LLC tag lookup: ~0 cycles q Bloom filter: cycle Tile Core, L 9 KB coun8ng Bloom filter q <2% false posi8ve Laser Control Co-design with Coherence Protocol [EcoLaser+, Demir & Hardavellas, SPIE 5] Forwarded Request Request Bloom LLC slice Directory Core, L Ack Bloom LLC slice Reply Bloom LLC slice Requestor Core, L 0 Owner Core, L

6 Laser Control Co-design with Coherence Protocol [EcoLaser+, Demir & Hardavellas, SPIE 5] An8cipates laser ac8va8on q Correlates cache coherence requests to replies q Ac8vates laser early à hides laser turn-on delay Which laser / plane to turn on? q Predict cache miss à turn on requestor s control plane q Request to directory à turn on directory s control plane q Directory forwarding à turn on owner s control+data plane q etc (including memory controller) When to turn it on? q Turn-on the laser just.5ns before the payload is ready q Minimum latency for each opera8on Router Microarchitecture (R-SWMR) Reserva8on Channels Data Channels L2 Cache Requests & Replies L L L2 Cache Slice Bloom Filter L L R R 2 R N L L RCH N RCH 2 RCH CH CH 2 CH N Inject Inject C Data Channel O/E O/E VC0 VC VC2 VC0 VC VC2 Data Channel N Switch Allocator & VC Allocator E/O E/O Laser Controller E/O Eject Eject C Lasers Data Channel i Common Channel i Reserva8on Channel i Bloom filters + coherence protocol à predict accesses 2

7 Controlling Off-chip Laser Source Off-chip laser die Network-on-chip [Heck & Bowers, JSTQE 4] WDM WDM WDM WDM WDM WDM λ λ 2 λ N λ λ 2 λ N Laser Switch λ λ N Op8cal Fiber λ λ N λ & λ 3 λ λ 2 λ N λ λ 2 λ N SOI Waveguides λ λ 2 λ N λ λ 2 λ N Data-Only Bits Common Bits Data Bus Messages CDF Interconnect OSen Stays Idle.0 Bodytrack 0.8 Em3d Ocean 0.6 Appbt Tomcatv 0.4 Barnes 0.2 Moldyn FMM 0.0 Average Message Inter-Arrival Time (cycles) 70% messages on avg. 9 cycles apart (.8ns), 40% are 4ns 4

8 Norm. Energy / Instr ProLaser Energy Savings Core_Leakage Core_Dynamic Memory_Leakage Memory_Dynamic Ring_Heating Modulation Laser Electrical Network F N e S E P I N e S E P I... F N e S E P I N e S E P I F N e S E P I N e S E P I On-chip Off-chip On-chip Off-chip On-chip Off-chip... Fmm Bodytrack Average ProLaser saves 49 88% of laser power 35 52% lower energy / instruc8on (40% avg.) 5 ProLaser: Performance Impact on Real Workloads Speedup Flat-Butterfly No-Ctrl Average Simple EcoLaser ProLaser Perfect No-Ctrl-OffChip Power_Eq-OffChip Simple-OffChip EcoLaser-OffChip ProLaser-OffChip Perfect-OffChip 60% speedup over No-Ctrl; 40% over flazened buzefly 6

9 Teaser Slide: Laser Gang in the Datacenter Laser Energy/Flit No-Ctrl Naive SLAC SLAC w/off EDU EDU2 AVERAGE SLaC w/off op8miza8on saves 79% of the laser energy Similar results with Facebook and Microso{ traces 7 Conclusion Problem: lasers are really power hungry, mostly wasted power Our solu8on: laser power-ga8ng (ProLaser, SLaC, EcoLaser (+), LaC) Significant energy reduc8on q Laser: 42 88% (6% on avg.), Processor: 35 52% (40% on avg.) q Within 2 6% of the theore8cally maximum savings q Power reduc8on leads to speedups: 50 73% (60% on avg.) Applicable to a wide range of scales (on chip, mul8chip, datacenter) Thank you! Quesons? 8

10 Backup Slides 9 DTM for Temperature Limi8ng Simulaon Tool Chain Cycle Accurate Full System Simula8on Flexus 4.0 Booksim 2.0 DRAMSim Run8me Stats Power Calcula8ons McPat 0.8 DSENT + Analycal Model Opera8ng Temperature Leakage and Dynamic Power HotSpot

11 Experimental Methodology CMP Size 64 cores, 580 mm 2 Core L Cache L2 Cache Memory Controller Main Memory Network ULTRASPARC III ISA, up to 5Ghz, OoO, 4-wide dispatch/retirement, 96-entry ROB Split I/D, 64KB 2-way, 2-cycle load-to-use, 2 ports, 64-byte blocks, 32 MSHRs, 6-entry victim cache 52 KB per core, 6 way, 64-byte blocks, 4 cyclehit, 32 MSHRs, 6-entry victim cache One per 4 cores, channel per Memory Controller, Round-robin page interleaving Optically connected memory [3], 0ns access R-SWMR radix-6 crossbar and firefly, 300-bit wide 0GHz, 20 flit deep buffers, 3 cycle router delay 2 Nanophotonic Parameters 22

12 Fmm: Input 28K Moldyn: 5, 20, 3.2 M Barnes: Input 64K Tomcatv: 4096, 0 Appbt: in.24x24x24x8bit Ocean: 026, 9600 Em3d: 400K, 2, 5, 5 Bodytrack Workloads 23 Latency (cycles) Interconnect Performance No-Control Perfect EcoLaser [Demir & Hardavellas, ISLPED 4] EcoLaser-OffChip Simple Simple-OffChip ProLaser ProLaser-OffChip Injection Rate ProLaser almost perfect satura8on; EcoLaser saturates early 24

13 Norm. Energy / Flit Interconnect Energy No-Control-OffChip EcoLaser-OffChip LaC-OffChip ProLaser-OffChip Injection Rate ProLaser saves 49 88% of laser power ProLaser is ~2x bezer than EcoLaser; 2 6% of Perfect 25 No-Control EcoLaser LaC ProLaser Sensivity to Laser Turn-On Delay EcoLaser - LES ProLaser - LES ProLaser-w/o Bloom Filters No-Ctrl ProLaser-w/o Bloom Filters - LES EcoLaser ProLaser Laser Energy Savings (LES) 00% 75% 50% 25% 0% Laser Turn-on Latency (ns) ProLaser tolerates 2.3x higher laser turn-on delay than EcoLaser Average Latency (cycles)

14 Speedup (On-chip Lasers) Flat-Butterfly No-Ctrl Power Eq Simple EcoLaser ProLaser Perfect Fmm Moldyn Barnes Tomcatv Appbt Ocean Em3d Bodytrack Average Speedup Speedup (Off-chip Lasers) Flat-Butterfly No-Ctrl-OffChip Power_Eq-OffChip Simple-OffChip EcoLaser-OffChip ProLaser-OffChip Perfect-OffChip 2 Speedup Fmm Moldyn Barnes Tomcatv Appbt Ocean Em3d Bodytrack Average

15 Router microarchitecture for SWMR EcoLaser [Ecolaser, Demir & Hardavellas, ISLPED 4] Message in injec8on buffers à Laser Turn On 29 Router Microarchitecture for MWSR EcoLaser [Ecolaser, Demir & Hardavellas, ISLPED 4] Adap8ve Laser Turn-on + Specialized Token Stream 30

16 MWSR Opcal Bus Data Bus D D 0 T T 0 Token Stream Router 0 Router Router N-2 Router N- (Home) 3

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on

WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on Sergi Abadal (abadal@ac.upc.edu) Albert Cabellos- Aparicio, Eduard Alarcón, Josep Torrellas UPC and UIUC ASPLOS 16

More information

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris Ϯ, Avinash Kodi Ϯ and Ahmed Louri School of Electrical Engineering and Computer

More information

Thermal Management of Manycore Systems with Silicon-Photonic Networks

Thermal Management of Manycore Systems with Silicon-Photonic Networks Thermal Management of Manycore Systems with Silicon-Photonic Networks Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun Electrical and Computer Engineering Department, Boston University, Boston,

More information

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices Technical Report CS-213-2 Jun Pang Department of Computer Science Duke University pangjun@cs.duke.edu Chris Dwyer Department

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

Energy Consumption Issues and Power Management Techniques

Energy Consumption Issues and Power Management Techniques Energy Consumption Issues and Power Management Techniques David Macii Embedded Electronics and Computing Systems group http://eecs.disi.unitn.it The scenario 2 The Moore s Law The transistor count in IC

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Recent Advances in Simulation Techniques and Tools

Recent Advances in Simulation Techniques and Tools Recent Advances in Simulation Techniques and Tools Yuyang Li, li.yuyang(at)wustl.edu (A paper written under the guidance of Prof. Raj Jain) Download Abstract: Simulation refers to using specified kind

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL., NO., MONTH YEAR : Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors Dominic DiTomaso, Student Member, IEEE, Avinash Kodi, Senior

More information

Active Microring Based Tunable Optical Power Splitters

Active Microring Based Tunable Optical Power Splitters Active Microring Based Tunable Optical Power Splitters Eldhose Peter, Arun Thomas*, Anuj Dhawan*, Smruti R Sarangi Computer Science and Engineering, IIT Delhi, *Electronics and Communication Engineering,

More information

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS By ZHONGQI LI A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

An Artificial Neural Networks based Temperature Prediction Framework for Network-on-Chip based Multicore Platform

An Artificial Neural Networks based Temperature Prediction Framework for Network-on-Chip based Multicore Platform Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 3-2016 An Artificial Neural Networks based Temperature Prediction Framework for Network-on-Chip based Multicore

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 Submitted to the Graduate Faculty of the Swanson School of Engineering

More information

Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Customized Computing for Power Efficiency. There are Many Options to Improve Performance ustomized omputing for Power Efficiency Jason ong cong@cs.ucla.edu ULA omputer Science Department http://cadlab.cs.ucla.edu/~cong There are Many Options to Improve Performance Page 1 Past Alternatives

More information

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 1-215 Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures James David Coddington Follow

More information

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Ho Young Kim, Robert Maxwell, Ankil Patel, Byeong Kil Lee Abstract The purpose of this study is to analyze and compare the

More information

Nanophotonics for low latency optical integrated circuits

Nanophotonics for low latency optical integrated circuits Nanophotonics for low latency optical integrated circuits Akihiko Shinya NTT Basic Research Labs., Nanophotonics Center, NTT Corporation MPSoC 17, Annecy, France Outline Low latency optical circuit BDD

More information

Improving GPU Performance via Large Warps and Two-Level Warp Scheduling

Improving GPU Performance via Large Warps and Two-Level Warp Scheduling Improving GPU Performance via Large Warps and Two-Level Warp Scheduling Veynu Narasiman The University of Texas at Austin Michael Shebanow NVIDIA Chang Joo Lee Intel Rustam Miftakhutdinov The University

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Out-of-Order Schedulers Data-Capture Scheduler Dispatch: read available operands from ARF/ROB, store in scheduler Commit: Missing operands filled in from bypass Issue: When

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

Lecture 21: Links and Signaling

Lecture 21: Links and Signaling Lecture 21: Links and Signaling CSE 123: Computer Networks Alex C. Snoeren HW 3 due Wed 3/15 Lecture 21 Overview Quality of Service Signaling Channel characteristics Types of physical media Modulation

More information

Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes

Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes Mosaic: A GPU Memory Manager with Application-Transparent Support for Multiple Page Sizes Rachata Ausavarungnirun Joshua Landgraf Vance Miller Saugata Ghose Jayneel Gandhi Christopher J. Rossbach Onur

More information

Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Networkon-Chip

Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Networkon-Chip Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 3-18-2016 Combined Dynamic Thermal Management Exploiting Broadcast-Capable Wireless Networkon-Chip Architecture

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Multiplexing. Chapter 8. Frequency Division Multiplexing Diagram. Frequency Division Multiplexing. Multiplexing

Multiplexing. Chapter 8. Frequency Division Multiplexing Diagram. Frequency Division Multiplexing. Multiplexing Multiplexing Chapter 8 Multiplexing Frequency Division Multiplexing FDM Useful bandwidth of medium exceeds required bandwidth of channel Each signal is modulated to a different carrier frequency Carrier

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence

Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence Katayoun Neshatpour George Mason University kneshatp@gmu.edu Amin Khajeh Broadcom Corporation amink@broadcom.com Houman Homayoun

More information

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar.

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar. ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION OUTLINE Motivations Interposer technologies for manycores Our goal An optically interconnected manycore on interposer Silicon

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Appears in the Proceedings of the 16th Symposium on High Performance Interconnects (HOTI-16), August 2008 Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten

More information

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop,

More information

COTSon: Infrastructure for system-level simulation

COTSon: Infrastructure for system-level simulation COTSon: Infrastructure for system-level simulation Ayose Falcón, Paolo Faraboschi, Daniel Ortega HP Labs Exascale Computing Lab http://sites.google.com/site/hplabscotson MICRO-41 tutorial November 9, 28

More information

SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip

SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

Proactive Thermal Management using Memory-based Computing in Multicore Architectures

Proactive Thermal Management using Memory-based Computing in Multicore Architectures Proactive Thermal Management using Memory-based Computing in Multicore Architectures Subodha Charles, Hadi Hajimiri, Prabhat Mishra Department of Computer and Information Science and Engineering, University

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Microwave and Optical Technology Letters. Minhui Yan, Qing-Yang Xu 1, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong

Microwave and Optical Technology Letters. Minhui Yan, Qing-Yang Xu 1, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong Page of 0 0 0 0 0 0 Schemes of Optical Power Splitter Nodes for Direct ONU-ONU Intercommunication Minhui Yan, Qing-Yang Xu, Chih-Hung Chen, Wei-Ping Huang, and Xiaobin Hong Department of Electrical and

More information

Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance

Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance Michael D. Powell, Arijit Biswas, Shantanu Gupta, and Shubu Mukherjee SPEARS Group, Intel Massachusetts EECS, University

More information

LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON

LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON ... LIMITS OF PARALLELISM AND BOOSTING IN DIM SILICON... THE AUTHORS INVESTIGATE THE LIMIT OF VOLTAGE SCALING TOGETHER WITH TASK PARALLELIZATION TO MAINTAIN TASK-COMPLETION LATENCY WHILE REDUCING ENERGY

More information

Data acquisi*on and Trigger - Trigger -

Data acquisi*on and Trigger - Trigger - Experimental Methods in Par3cle Physics (HS 2014) Data acquisi*on and Trigger - Trigger - Lea Caminada lea.caminada@physik.uzh.ch 1 Interlude: LHC opera3on Data rates at LHC Trigger overview Coincidence

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks Luan H. K. Duong, Student Member,

More information

Project 5: Optimizer Jason Ansel

Project 5: Optimizer Jason Ansel Project 5: Optimizer Jason Ansel Overview Project guidelines Benchmarking Library OoO CPUs Project Guidelines Use optimizations from lectures as your arsenal If you decide to implement one, look at Whale

More information

AS core count increases in manycore systems to support

AS core count increases in manycore systems to support IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 5, MAY 2017 801 Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation José

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

A Multiple SIMD Mesh Architecture for Multi-Channel Radar Processing

A Multiple SIMD Mesh Architecture for Multi-Channel Radar Processing A Multiple SIMD Mesh Architecture for Multi-Channel Radar Processing Mikael Taveniku 2,3, Anders Åhlander 1, Magnus Jonsson 1 and Bertil Svensson 1,2 1. Centre for Computer Architecture, Halmstad University,

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

ITM 1010 Computer and Communication Technologies

ITM 1010 Computer and Communication Technologies ITM 1010 Computer and Communication Technologies Lecture #14 Part II Introduction to Communication Technologies: Digital Signals: Digital modulation, channel sharing 2003 香港中文大學, 電子工程學系 (Prof. H.K.Tsang)

More information

ARCH: Prac+cal Channel Hopping for Reliable Home- Area Sensor Networks. Chenyang Lu

ARCH: Prac+cal Channel Hopping for Reliable Home- Area Sensor Networks. Chenyang Lu ARCH: Prac+cal Channel Hopping for Reliable Home- Area Sensor Networks Chenyang Lu Home Area Network for Smart Energy Connecting power meters, thermostats, HVAC, appliances. Source: AT&T Labs 2 Wireless

More information

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Optical Transceivers architecture is challenged Electrical Driver TIA Laser Photodiode Optical Optical

More information

Cisco s CLEC Networkers Power Session

Cisco s CLEC Networkers Power Session Course Number Presentation_ID 1 Cisco s CLEC Networkers Power Session Session 2 The Business Case for ONS 15800 3 What s Driving the Demand? Data Voice 4 What s Driving the Demand? Internet 36,700,000

More information

Proactive Thermal Management Using Memory Based Computing

Proactive Thermal Management Using Memory Based Computing Proactive Thermal Management Using Memory Based Computing Hadi Hajimiri, Mimonah Al Qathrady, Prabhat Mishra CISE, University of Florida, Gainesville, USA {hadi, qathrady, prabhat}@cise.ufl.edu Abstract

More information

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Florenta Costache Group manager Smart Micro-Optics SMO/AMS Fraunhofer Institute for Photonic Microsystems,

More information

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE 6 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 16, NO. 1, JANUARY/FEBRUARY 2010 High-Performance Modulators and Switches for Silicon Photonic Networks-on-Chip Benjamin G. Lee, Member, IEEE,

More information

Trace Based Switching For A Tightly Coupled Heterogeneous Core

Trace Based Switching For A Tightly Coupled Heterogeneous Core Trace Based Switching For A Tightly Coupled Heterogeneous Core Shru% Padmanabha, Andrew Lukefahr, Reetuparna Das, Sco@ Mahlke Micro- 46 December 2013 University of Michigan Electrical Engineering and Computer

More information

Outline / Wireless Networks and Applications Lecture 2: Networking Overview and Wireless Challenges. Protocol and Service Levels

Outline / Wireless Networks and Applications Lecture 2: Networking Overview and Wireless Challenges. Protocol and Service Levels 18-452/18-750 Wireless s and s Lecture 2: ing Overview and Wireless Challenges Peter Steenkiste Carnegie Mellon University Spring Semester 2017 http://www.cs.cmu.edu/~prs/wirelesss17/ Peter A. Steenkiste,

More information

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Design, Modelling, Fabrication & Characterization Piero Orlandi 1 Possible Approaches Reduced Design time Transparent Technology Shared

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Crossbar. Interconnect Concerns

ESE532: System-on-a-Chip Architecture. Today. Message. Crossbar. Interconnect Concerns ESE532: System-on-a-Chip Architecture Day 19: March 29, 2017 Network-on-a-Chip (NoC) Today Ring 2D Mesh Networks Design Issues Buffering and deflection Dynamic and static routing Penn ESE532 Spring 2017

More information

Olivier Sentieys. IRISA/INRIA Cairn team. Power Consumption in Silicon Chips. Chips, logic gates and transistors.

Olivier Sentieys. IRISA/INRIA Cairn team. Power Consumption in Silicon Chips. Chips, logic gates and transistors. Olivier entieys II/INI Cairn team University of ennes olivier.sentieys@inria.fr ower Consumption in ilicon Chips Chips, logic gates and transistors Ci Intel s Xeon Chip i = i.fi.ci.vdd + Ileaki.Vdd = X

More information

Out-of-Order Execution. Register Renaming. Nima Honarmand

Out-of-Order Execution. Register Renaming. Nima Honarmand Out-of-Order Execution & Register Renaming Nima Honarmand Out-of-Order (OOO) Execution (1) Essence of OOO execution is Dynamic Scheduling Dynamic scheduling: processor hardware determines instruction execution

More information

(Refer Slide Time: 2:23)

(Refer Slide Time: 2:23) Data Communications Prof. A. Pal Department of Computer Science & Engineering Indian Institute of Technology, Kharagpur Lecture-11B Multiplexing (Contd.) Hello and welcome to today s lecture on multiplexing

More information

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Avinash Kodi, Kyle Shiflett, Savas Kaya and Soumyasanta Laha Department of Electrical Engineering and Computer Science Ohio University,

More information

Correlator Development at Haystack. Roger Cappallo Haystack-NRAO Technical Mtg

Correlator Development at Haystack. Roger Cappallo Haystack-NRAO Technical Mtg Correlator Development at Haystack Roger Cappallo Haystack-NRAO Technical Mtg. 2006.10.26 History of Correlator Development at Haystack ~1973 Mk I 360 Kb/s x 2 stns. 1981 Mk III 112 Mb/s x 4 stns. 1986

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence

Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence 778 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 4, APRIL 2018 Enhancing Power, Performance, and Energy Efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005

OPTICAL NETWORKS. Building Blocks. A. Gençata İTÜ, Dept. Computer Engineering 2005 OPTICAL NETWORKS Building Blocks A. Gençata İTÜ, Dept. Computer Engineering 2005 Introduction An introduction to WDM devices. optical fiber optical couplers optical receivers optical filters optical amplifiers

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip.

Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip. Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip Sudeep Pasricha, Sai Vineel Reddy Chittamuru, Ishan G. Thakkar Department of Electrical and Computer Engineering Colorado

More information

Rapid Prototyping of Wireless Physical Layer Modules Using Flexible Software/Hardware Design Flow

Rapid Prototyping of Wireless Physical Layer Modules Using Flexible Software/Hardware Design Flow Rapid Prototyping of Wireless Physical Layer Modules Using Flexible Software/Hardware Design Flow James Chacko jjc652@drexel.edu Cem Sahin cs486@drexel.edu Doug Pfeil dsp36@drexel.edu Dr. Nagarajan Kandasamy

More information

Performance Studies of 100 Gigabit Ethernet Enabled by Advanced Modula=on Formats

Performance Studies of 100 Gigabit Ethernet Enabled by Advanced Modula=on Formats Performance Studies of 100 Gigabit Ethernet Enabled by Advanced Modula=on Formats Jinlong Wei, Jonathan D. Ingham, Richard V. Penty and Ian H. White E- mails: {jw748, jdi21, rvp11, ihw3}@cam.ac.uk Thank

More information

EDFA TRANSIENT REDUCTION USING POWER SHAPING

EDFA TRANSIENT REDUCTION USING POWER SHAPING Proceedings of the Eighth IASTED International Conference WIRELESS AND OPTICAL COMMUNICATIONS (WOC 2008) May 26-28, 2008 Quebec City, Quebec, Canada EDFA TRANSIENT REDUCTION USING POWER SHAPING Trent Jackson

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Christopher Nitta, Matthew Farrens, and Venkatesh Akella University of California, Davis Davis, CA 95616 Email: cjnitta@ucdavis.edu,

More information

High-Performance, Scalable Optical Network-On- Chip Architectures

High-Performance, Scalable Optical Network-On- Chip Architectures UNLV Theses, Dissertations, Professional Papers, and Capstones 8-1-2013 High-Performance, Scalable Optical Network-On- Chip Architectures Xianfang Tan University of Nevada, Las Vegas, yanshu08@gmail.com

More information

Photonic Integrated Beamformer for Broadband Radio Astronomy

Photonic Integrated Beamformer for Broadband Radio Astronomy M. Burla, D. A. I. Marpaung, M. R. H. Khan, C. G. H. Roeloffzen Telecommunication Engineering group University of Twente, Enschede, The Netherlands P. Maat, K. Dijkstra ASTRON, Dwingeloo, The Netherlands

More information

SPADIC 1.0. Tim Armbruster. FEE/DAQ Workshop Mannheim. January Visit

SPADIC 1.0. Tim Armbruster. FEE/DAQ Workshop Mannheim. January Visit SPADIC 1.0 Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de FEE/DAQ Workshop Mannheim Schaltungstechnik Schaltungstechnik und und January 2012 Visit http://www.spadic.uni-hd.de 1. SPADIC Architecture

More information

Performance Evaluation of Recently Proposed Cache Replacement Policies

Performance Evaluation of Recently Proposed Cache Replacement Policies University of Jordan Computer Engineering Department Performance Evaluation of Recently Proposed Cache Replacement Policies CPE 731: Advanced Computer Architecture Dr. Gheith Abandah Asma Abdelkarim January

More information

SalSA Readout: GEISER & Digitizers. Gary S. Varner Univ. of Hawaii February 2005

SalSA Readout: GEISER & Digitizers. Gary S. Varner Univ. of Hawaii February 2005 SalSA Readout: GEISER & Digitizers Gary S. Varner Univ. of Hawaii February 2005 Outline Transient Recording Have explored 3 techniques through prototype measurement stage For more than a year have been

More information

Optical switches. Switching Technology S Optical switches

Optical switches. Switching Technology S Optical switches Optical switches Switching Technology S38.165 http://www.netlab.hut.fi/opetus/s38165 13-1 Optical switches Components and enabling technologies Contention resolution Optical switching schemes 13-2 1 Components

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Big versus Little: Who will trip?

Big versus Little: Who will trip? Big versus Little: Who will trip? Reena Panda University of Texas at Austin reena.panda@utexas.edu Christopher Donald Erb University of Texas at Austin cde593@utexas.edu Lizy Kurian John University of

More information

P. 241 Figure 8.1 Multiplexing

P. 241 Figure 8.1 Multiplexing CH 08 : MULTIPLEXING Multiplexing Multiplexing is multiple links on 1 physical line To make efficient use of high-speed telecommunications lines, some form of multiplexing is used It allows several transmission

More information