Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance

Size: px
Start display at page:

Download "Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance"

Transcription

1 Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance Randy Morris Ϯ, Avinash Kodi Ϯ and Ahmed Louri School of Electrical Engineering and Computer Science, Ohio University Ϯ Department of Electrical and Computer Engineering, University of Arizona th International Symposium on Microarchitecture (MICRO) December 1 December 5, 2012 Vancouver BC, Canada

2 Talk Outline Motivation & Background R-3PO: Architecture & Reconfiguration Performance Analysis Conclusions 2

3 Multicores & Network-on-Chips Tilera core Intel TeraFlops core FERMI (Nvidia) 3 With increasing cores, communication-centric design paradigm is becoming important (Network-on-Chips) Energy for communication is increasing Delivered throughput is decreasing

4 Power (watts) Energy Discrepancy & Throughput Energy discrepancy between computation and global communication with technology scaling Need to reduce global communication energy Relative On-die energy Compute Energy Interconnect Energy Technology (nm) Source: Shekar Borkar, Intel Reduced throughput due to aggressive voltage and clock scaling Need to provide scalable bandwidth without sacrificing performance => Potential solutions: Nanophotonics, 3D Stacking Tile Power: Intel Tera-Flops (65 nm) 1 1 Tflops at 97 W Voltage 1.33 Tflops At 230 W 1. Y. Hoskote, A 5-GHz Mesh Interconnect for A Teraflops Processor, IEEE Computer Society, 2007 pp

5 Nanophotonics & Optical 3D Stacking Nanophotonics offers several advantages: Low energy (7.9 fj/bit ) Small Footprint (~2.5 µm) High Bandwidth (~40 Gbps) CMOS compatibility 1. L. Xu, W. Zhang, Q. Li, J. Chan, H. L. R. Lira, M. Lipson, K. Bergman, "40-Gb/s DPSK Data Transmission Through a Silicon Microring Switch," IEEE Photonics Technology Letters Sasikanth Manipatruni, Kyle Preston, Long Chen, and Michal Lipson, "Ultra-low voltage, ultra-small mode volume silicon microring modulator," Opt. Express 18, (2010) Optical 3D stacking offers several advantages: Shorter interconnect length Higher bandwidth density Optical vias create power-efficient inter-layer communication Layer 2 Layer 1 3. P. Koonath and B. Jalali, Multilayer 3-d photonics in silicon, Opt. Express, vol. 15, pp , A. Biberman, K. Preston, G. Hendry, N. Sherwood-Droz, J. Chan, J. S. Levy, M. Lipson, and K. Bergman, Photonic network-on-chip architectures using multilayer deposited silicon materials for high performance chip multiprocessors, J. Emerg. Technol. Comput. Syst., vol. 7, pp. 1 25, July

6 Recent Work on Photonic NoC, among others Shared-Bus [Cornell, MICRO 06] Circuit Switch [Columbia, NoCs 07] CORONA [HP/Wisconsin, ISCA 08] Processor-DRAM [MIT, Hot Int 08] Firefly [Northwestern, ISCA 09] Phastlane [Cornell, ISCA 09] Flexishare [Northwestern, HPCA 10] Oblivious Router [Cornell, ASPLOS 10] ATAC [MIT, PACT 10] MPNoC [Arizona, DAC 10] Free-Space Architecture [ISCA 10] Optical Proximity [Sun, ISCA 10] PROPEL [Ohio, NoCs 10] System Level Trimming [UC Davis, HPCA 11] Atomic Coherence [Wisconsin/HP, HPCA 11] FeatherWeight [Northwestern/KAIST, MICRO 11] Resilient Microring Design [UCDavis, MICRO 11] Tolerating Process Variations [Pittsburgh, ISCA 12] However, there are several issues not addressed 2D planar connections have waveguide crossings Static network resource allocation Lack of fault tolerance 6

7 Talk Outline Motivation & Background R-3PO: Architecture & Reconfiguration Performance Analysis Conclusions 7

8 R-3PO Architecture Decomposed optical crossbar Reduces optical hardware complexity by having smaller crossbars Reduces crossover losses (~ 0.05 db/crossing) Optical vias Light switched via photonic rings (reduces electrical power) Eases fabrication as optical and electrical dies can be separately grown Reconfiguration of network resources by re-allocating bandwidth Reduces application execution time by monitoring link and buffer utilization Provides fault tolerance as faulty channels are bypassed 8

9 R-3PO Architecture (1/6) Electrical Contact Optical Die Optical Layer 3 Optical Layer 2 Optical Layer 1 Optical Layer 0 External Laser Electrical Die Heat Sink Electro-Optic Transceivers TSVs Core + Cache + MC 9

10 L1 Cache Shared L2 L1 Cache L1 Cache L1 Cache R-3PO Architecture (1/6) Core 0 Core 1 Core 2 Core 3 Electrical Die Heat Sink Core + Cache + MC 10

11 R-3PO Architecture (2/6) Buffer Chain Photodetector TIA Limiting Driver for Amplifier Electronics Off- Chip Laser Micro-ring resonator T x T x T x T x R x R x R x R x λ 1 λ 2 λ 3 λ 4 λ 1 λ 2 λ 3 λ 4 Core A Core B External Laser Electrical Die Heat Sink Electro-Optic Transceivers TSVs Core + Cache + MC 11

12 R-3PO Architecture (3/6) Group 0 Group 1 Group 2 Group 3 External Laser Electrical Die Heat Sink Optical Layer 0 Electro-Optic Transceivers TSVs Core + Cache + MC 12

13 R-3PO Architecture (4/6) Group 0 Group 1 Group 2 Group 3 External Laser Electrical Die Heat Sink Optical Layer 1 Optical Layer 0 Electro-Optic Transceivers TSVs Core + Cache + MC 13

14 R-3PO Architecture (5/6) Group 0 Group 1 Group 2 Group 3 External Laser Electrical Die Heat Sink Optical Layer 2 Optical Layer 1 Optical Layer 0 Electro-Optic Transceivers TSVs Core + Cache + MC 14

15 R-3PO Architecture (6/6) Group 0 Group 1 Electrical Contact Group 2 Group 3 Optical Die Optical Layer 3 Optical Layer 2 Optical Layer 1 Optical Layer 0 External Laser Electrical Die Heat Sink Electro-Optic Transceivers TSVs Core + Cache + MC 15

16 Router Microarchitecture Tile 0 Header Route Computation (RC) IB 0 Token Req + Rel E/O Tx Token capture release To Optical Layer 0 L2 Shared Cache demux Switch Allocator (SA) IB 3 0B 0 Token Req + Rel E/O Tx Token Control O/E Rx MRR Modulators To Optical Layer 3 Token Re-generation From Optical Layer 0 RC BW EO OL OL OL OE S BW D BW RC EO OL OL OL OE S RC: Route Computation BWS: Buffer Write (Source) EO: Electrical to Optical Driver OL: Optical link latency (1-3 cycles) SA BW D SA OE: Optical to Electrical (Dest) mux 0B 3 Token Control BWD: Buffer Write (Dest) SA: Switch Allocation O/E Rx From Optical Layer 3 MRR Filters 16

17 Static Communication Source Layer 2 Group 0 Group 1 Group 2 Group 3 Communication demand between Tile 0 and Tile 15 is high based on application If there are under-utilized links, then the bandwidth can be reallocated to improve the performance 17

18 Network Reconfiguration Source Layer 0 Group 0 Group 1 Group 0 Group 1 Layer 1 Switch point Combine point Layer 1 Group 2 Layer 0 Group 3 Group 2 Group 3 Destination 2x increase in bandwidth is obtained by routing half the data through two other nanophotonic channels 18

19 Reconfiguration Reconfiguration in R-3PO takes place between the different layers as follows: R-3P0-L1: Reconfiguration between Layer0/Layer1 & Layer2/Layer3 R-3P0-LA: Reconfiguration between adjacent layers R-3P0-L2: Reconfiguration between two adjacent layers R-3P0-L3: Reconfiguration between all layers Reconfiguration algorithm monitors network resources Link & Buffer utilization Accomplished with hardware counters & electrical circuitry 19

20 Reconfiguration Algorithm Step 1: Wait for Reconfiguration window, R W t Step 2: RC i sends a request packet to all local tiles requesting Link Util and Buffer Util for previous R W t-1 Step 3: Each hardware counter sends Link Util and Buffer Util statistics from the pervious R W t-1 to RC i Step 4: RC i classifies the link statistic for each hardware counter as: If Link util = 0.0 Not-Utilized: Use β 4 If Link util Lmin Under-Utilized: Use β 3 If Link util L min and Buffer util < B con Normal-Utilized: Use β 2 If Bufferutil > Bcon Over-Utilized: Use β 1 Step 5: Each RC i sends bandwidth available information to RC j, (i j). Step 6: If RC j can use any of the free links then notify RC i of their use, else RC j will forward to next RC j Step 7a: RC i receives response back from RC j and activates corresponding microrings Step 7b: RC j notifies the tiles of additional bandwidth and RC i notifies RC j that the additional bandwidth is now available Step 8: Goto Step 1 20

21 Fault Tolerance Channel faults cause communication breakdown isolating healthy cores due to transceiver failure (Eg., ring resonator failure due to thermal drift or process variation) As redundant channels are available in the decomposed crossbar, fault tolerance can be implemented Augment the reconfiguration algorithm to detect link faults When faults are detected, bandwidth from working links are shared with faulty links to communicate with the isolated core Fault tolerance techniques allow performance to degrade gracefully 21

22 Fault Tolerance Example Group 0 Group 1 Layer 0 Layer 1 Group 0 Group 1 Faulty Link Switch point Combine point Group 2 Group 3 Group 2 Group 3 Bandwidth from Group 0 s interconnects in Layer 0 are switch to the interconnects in Layer 1 that are used to communicate with Group 0 22

23 Talk Outline Motivation & Background R-3PO: Architecture & Reconfiguration Performance Analysis Conclusions 23

24 Performance Analysis Synthetic, SPLASH-2, PARSEC, & SPEC CPU 2006 application traces on a cycle accurate simulator SPLASH-2: FFT, LU, radix, ocean, & water PARSEC: blackscholes, facesim, fluidanimate, freqmin, & streamcluster SPEC CPU 2006: bzip & hmmer Power Analysis Optical Power (micro-ring resonators & laser power) Electrical Power (receiver & router) Compared to the following networks Electrical: Mesh & Flattened-Butterfly Optical: Firefly, Corona, & MPNoC 24

25 Energy Evaluation Pre-Driver and SERDES Buffer Chain Optical Receiver Circuitry & DESERDES Photodetector TIA Limiting Amplifier Driver for Electronics Ring Heating & Ring modulation Laser Power T x T x T x T x R x R x R x R x Ring Heating λ 1 λ 2 λ 3 λ 4 λ 1 λ 2 λ 3 λ 4 Off-Chip Laser Core Core Device Energy Device Energy Ring Heating 2.6 fj/bit Ring modulation 50 fj/bit Pre-Diver 19 fj/bit SERDES 1.5 fj/bit DESERDES 1.5 fj/bit Receiver Circuitry 66 fj/bit C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popović, H. Li, H. Smith, J. Hoyt, F. Kärtner, R. Ram, V. Stojanović, and K. Asanović. "Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics."16th Symposium on High-Performance Interconnects (HOTI-16), Aug

26 System Simulation Parameters R-3PO is compared to the following networks: Mesh, Flattened-Butterfly, Firefly, Corona, & MPNOC Parameter L1/L2 coherence L2 cache size/accos Value MOESI 4MB/16-way L2 access latency (cycles) 4 L1 cache/accoc 64KB/4-way L1 access latency (cycles) 2 Core Frequency (GHz) 5 Threads (core) 2 Issue Policy In-order Memory Size (GB) 4 Memory latency (cycles)

27 Energy per Bit (pj) 2.5 Energy per bit (256 Cores): Uniform % Mesh FB Firefly Corona MPNOC R-3PO-L1 R-3PO-LA R-3PO-L2 R-3PO-L3 Ring modulation Ring heating Laser Back-end circuit Electrical link Router R-3PO reduces energy consumption by 36% 27

28 Speed-Up Application Traffic (64 Cores) blackscholes facesim fluidanimate freqmin streamcluster bzip hmmer Mesh Flattened-Butterfly Firefly Corona MPNOC R-3PO-L1 R-3PO-LA R-3PO shows an increase in performance of about 2.5x 28

29 Speed-Up Synthetic Traffic (256 Cores) Uniform Bit-reversal Butterfly Compliment Matrix-Transpose Perfect Shuffle Neighbor Mesh FB FireFly Corona MPNOC R-3PO-L1 R-3PO-LA R-3PO shows an increase in performance of about 4x 29

30 Performance Degradation 1.2 Fault Tolerance blackscholes facesim fluidanimate freqmin streamcluster bzip hmmer R-3PO-L1 R-3PO-L1(10%) R-3PO-L1(25%) R-3PO-L1(50%) Degrades performance when compared to R-3PO as follows: With 10% faults, performance loss is 3% With 25% faults, performance loss is 13% With 50% faults, performance loss is 35% 30

31 Talk Outline Motivation & Background R-3PO: Architecture & Reconfiguration Performance Analysis Conclusions 31

32 Conclusions R-3PO combines the benefits of nanophotonic and 3D stacking to reduce energy consumption while eliminating waveguide crossing We evaluate power-performance trade-off by analyzing the design space of implementing reconfiguration across multiple layers We apply our reconfiguration algorithm to bypass faulty channels by sharing bandwidth Our results indicate that energy/bit can be decreased by 23-36% for various real applications while improving application speedup by 2-4X 32

33 Thank You Questions?

34 Speed-Up Application Traffic (64 Cores/16λ) blackscholes facesim fluidanimate freqmin streamcluster bzip hmmer Mesh Flattened-Butterfly Firefly Corona MPNOC R-3PO-L1 R-3PO-LA R-3PO shows an increase in performance of about 2.5x 34

35 Power Analysis Device Loss(dB) Device Loss(dB) Coupler (L c ) 1 Filter drop (L f ) 1 Non-Linearity (L n ) 1 Bending (L B ) 1 Photo-detector (L p ) 1 Waveguide Crossing (L wc ) 0.05 Modulator Insertion (L i ) 1 Receiver (L RS ) Sensitivity -26 dbm (R-3PO) Waveguide (per cm) (L W ) 1.3 Splitter (L s ) 3 Laser Efficiently 30% C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popović, H. Li, H. Smith, J. Hoyt, F. Kärtner, R. Ram, V. Stojanović, and K. Asanović. "Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics."16th Symposium on High-Performance Interconnects (HOTI-16), Aug

36 Laser Power (Watts) Laser Power (Watts) Variation in Laser Power Laser Power (Watts) X: 64 Y: -26 Z: 6.1 Laser Power (Watts) Receiver -25 Receiver Sensitivity (dbm) (a) Wavelengths Ring Ring Filter Loss (db) (b) Waveguide Loss (db) Waveguide Loss (db)

37 Reconfiguration Combinations R-3PO-L1 Layer 0 Layer 1 Layer 2 Layer 3 R-3PO-LA Layer 0 Layer 1 Layer 2 Layer 3 Layer 0 G0 <->G0 G1 <-> G2 G3 <-> G3 Layer 0 G0 <->G0 G1 <-> G2 G3 <-> G3 Layer 1 G0 <->G2 G1 <-> G3 Layer 1 G0 <->G2 G1 <-> G3 Layer 2 G1 <->G1 G0 <-> G3 G2 <-> G2 Layer 2 G1 <->G1 G0 <-> G3 G2 <-> G2 Layer 3 R-3PO-L2 G0 <->G1 G2 <-> G3 Layer 0 Layer 1 Layer 2 Layer 3 Layer 3 R-3PO-L3 G0 <->G1 G2 <-> G3 Layer 0 Layer 1 Layer 2 Layer 3 Layer 0 G0 <->G0 G1 <-> G2 G3 <-> G3 Layer 0 G0 <->G0 G1 <-> G2 G3 <-> G3 Layer 1 G0 <->G2 G1 <-> G3 Layer 1 G0 <->G2 G1 <-> G3 Layer 2 G1 <->G1 G0 <-> G3 G2 <-> G2 Layer 2 G1 <->G1 G0 <-> G3 G2 <-> G2 Layer 3 G0 <->G1 G2 <-> G3 Layer 3 G0 <->G1 G2 <-> G3 37

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors

A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL., NO., MONTH YEAR : Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors Dominic DiTomaso, Student Member, IEEE, Avinash Kodi, Senior

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

Thermal Management of Manycore Systems with Silicon-Photonic Networks

Thermal Management of Manycore Systems with Silicon-Photonic Networks Thermal Management of Manycore Systems with Silicon-Photonic Networks Tiansheng Zhang, José L. Abellán, Ajay Joshi, Ayse K. Coskun Electrical and Computer Engineering Department, Boston University, Boston,

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun and Vladimir Stojanović Massachusetts Institute of Technology CICC 2011

More information

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks

PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks PhoenixSim: A Simulator for Physical-Layer Analysis of Chip-Scale Photonic Interconnection Networks Johnnie Chan, Gilbert Hendry, Aleksandr Biberman, Keren Bergman Department of Electrical Engineering

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Appears in the Proceedings of the 16th Symposium on High Performance Interconnects (HOTI-16), August 2008 Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten

More information

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar.

ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION. OPTICS Workshop DATE 2017 Yvain THONNART Mar. ON THE WAY TO PHOTONIC INTERPOSERS, BUILDING BLOCKS FOR USR-OPTICAL COMMUNICATION OUTLINE Motivations Interposer technologies for manycores Our goal An optically interconnected manycore on interposer Silicon

More information

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures

Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 1-215 Performance and Energy Trade-offs for 3D IC NoC Interconnects and Architectures James David Coddington Follow

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip

CHAMELEON: CHANNEL Efficient Optical Network-on-Chip CHAMELEON: CHANNEL Efficient Optical Network-on-Chip Sébastien Le Beux 1 *, Hui Li 1, Ian O Connor 1, Kazem Cheshmi 2, Xuchen Liu 1, Jelena Trajkovic 2, Gabriela Nicolescu 3 1 Lyon Institute of Nanotechnology,

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects

Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects Impact of High-Speed Modulation on the Scalability of Silicon Photonic Interconnects OPTICS 201, March 18 th, Dresden, Germany Meisam Bahadori, Sébastien Rumley,and Keren Bergman Lightwave Research Lab,

More information

More-than-Moore with Integrated Silicon-Photonics. Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley

More-than-Moore with Integrated Silicon-Photonics. Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley More-than-Moore with Integrated Silicon-Photonics Vladimir Stojanović Berkeley Wireless Rearch Center UC Berkeley 1 Acknowledgments Milos Popović (Boulder/BU), Rajeev Ram, Jason Orcutt, Hanqing Li (MIT),

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices

mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices mnoc: Large Nanophotonic Network-on-Chip Crossbars with Molecular Scale Devices Technical Report CS-213-2 Jun Pang Department of Computer Science Duke University pangjun@cs.duke.edu Chris Dwyer Department

More information

High-Performance, Scalable Optical Network-On- Chip Architectures

High-Performance, Scalable Optical Network-On- Chip Architectures UNLV Theses, Dissertations, Professional Papers, and Capstones 8-1-2013 High-Performance, Scalable Optical Network-On- Chip Architectures Xianfang Tan University of Nevada, Las Vegas, yanshu08@gmail.com

More information

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures

Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Physical Layer Analysis and Modeling of Silicon Photonic WDM Bus Architectures Robert Hendry, Dessislava Nikolova, Sebastien Rumley, Noam Ophir, Keren Bergman Columbia University 6 th St. and Broadway

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS

ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS ON THE EXPLORATION OF NEXT-GENERATION INTERCONNECT DESIGN FOR CHIP MULTI-PROCESSORS By ZHONGQI LI A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks

Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Addressing System-Level Trimming Issues in On-Chip Nanophotonic Networks Christopher Nitta, Matthew Farrens, and Venkatesh Akella University of California, Davis Davis, CA 95616 Email: cjnitta@ucdavis.edu,

More information

Active Microring Based Tunable Optical Power Splitters

Active Microring Based Tunable Optical Power Splitters Active Microring Based Tunable Optical Power Splitters Eldhose Peter, Arun Thomas*, Anuj Dhawan*, Smruti R Sarangi Computer Science and Engineering, IIT Delhi, *Electronics and Communication Engineering,

More information

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication

Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Power-Efficient Calibration and Reconfiguration for On-Chip Optical Communication Yan Zheng 1,2, Peter Lisherness 2, Ming Gao 2, Jock Bovington 2, Shiyuan Yang 1, and Kwang-Ting Cheng 2 1. Department of

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007

TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS. by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 TOWARDS RELIABLE NANOPHOTONIC INTERCONNECTION NETWORK DESIGNS by Yi Xu B.S., Nanjing University, 2004 M.S., Nanjing University, 2007 Submitted to the Graduate Faculty of the Swanson School of Engineering

More information

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane

Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Integrated electro-optical waveguide based devices with liquid crystals on a silicon backplane Florenta Costache Group manager Smart Micro-Optics SMO/AMS Fraunhofer Institute for Photonic Microsystems,

More information

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects Hui Li, Sébastien Le Beux, Martha Johanna Sepulveda Florez, Ian O Connor To cite this version: Hui Li, Sébastien

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory

MICRO RING MODULATOR. Dae-hyun Kwon. High-speed circuits and Systems Laboratory MICRO RING MODULATOR Dae-hyun Kwon High-speed circuits and Systems Laboratory Paper preview Title of the paper Low Vpp, ultralow-energy, compact, high-speed silicon electro-optic modulator Publication

More information

Lecture 4 INTEGRATED PHOTONICS

Lecture 4 INTEGRATED PHOTONICS Lecture 4 INTEGRATED PHOTONICS What is photonics? Photonic applications use the photon in the same way that electronic applications use the electron. Devices that run on light have a number of advantages

More information

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control Xuezhe Zheng, 1 Eric Chang, 2 Philip Amberg, 1 Ivan Shubin, 1 Jon Lexau, 2 Frankie Liu, 2

More information

Heinrich-Hertz-Institut Berlin

Heinrich-Hertz-Institut Berlin NOVEMBER 24-26, ECOLE POLYTECHNIQUE, PALAISEAU OPTICAL COUPLING OF SOI WAVEGUIDES AND III-V PHOTODETECTORS Ludwig Moerl Heinrich-Hertz-Institut Berlin Photonic Components Dept. Institute for Telecommunications,,

More information

In Search of the Elusive All-Optical Packet Buffer

In Search of the Elusive All-Optical Packet Buffer In Search of the Elusive All-Optical Packet Buffer Rod Tucker Centre for Ultra-Broadband Information Networks (CUBIN) Department for Electrical and Electronic Engineering University of Melbourne, Australia

More information

OPTICAL I/O RESEARCH PROGRAM AT IMEC

OPTICAL I/O RESEARCH PROGRAM AT IMEC OPTICAL I/O RESEARCH PROGRAM AT IMEC IMEC CORE CMOS PHILIPPE ABSIL, PROGRAM DIRECTOR JORIS VAN CAMPENHOUT, PROGRAM MANAGER SCALING TRENDS IN CHIP-LEVEL I/O RECENT EXAMPLES OF HIGH-BANDWIDTH I/O Graphics

More information

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects

Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Offline Optimization of Wavelength Allocation and Laser to Deal with Energy-Performance Tradeoffs in Nanophotonic Interconnects Cédric KILLIAN Universty of Rennes 1 Cairn Team IRISA, Inria OPTICS workshop,

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies

Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Bidirectional Transmission in an Optical Network on Chip With Bus and Ring Topologies Volume 8, Number 1, February 2016 S. Faralli F. Gambini, Student Member, IEEE P. Pintus, Member, IEEE M. Scaffardi

More information

The Past, Present, and Future of Silicon Photonics

The Past, Present, and Future of Silicon Photonics The Past, Present, and Future of Silicon Photonics Myung-Jae Lee High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering Yonsei University Outline Introduction A glance at history

More information

FPGA based Prototyping of Next Generation Forward Error Correction

FPGA based Prototyping of Next Generation Forward Error Correction Symposium: Real-time Digital Signal Processing for Optical Transceivers FPGA based Prototyping of Next Generation Forward Error Correction T. Mizuochi, Y. Konishi, Y. Miyata, T. Inoue, K. Onohara, S. Kametani,

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics. Vladimir Stojanović MIT

Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics. Vladimir Stojanović MIT Designing VLSI Interconnects with Monolithically Integrated Silicon-Photonics Vladimir Stojanović MIT SSCS DL series Santa Clara, CA, November, 2012 Acknowledgments Rajeev Ram, Henry Smith, Hanqing Li

More information

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach

A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach A Comparison of Optical Modulator Structures Using a Matrix Simulation Approach Kjersti Kleven and Scott T. Dunham Department of Electrical Engineering University of Washington 27 September 27 Outline

More information

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics

Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Optical Integrated Devices in Silicon On Insulator for VLSI Photonics Design, Modelling, Fabrication & Characterization Piero Orlandi 1 Possible Approaches Reduced Design time Transparent Technology Shared

More information

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA Multiband RF-Interconnect for Reconfigurable Network-on-hip ommunications Jason ong (cong@cs.ucla.edu) Joint work with Frank hang, Glenn Reinman and Sai-Wang Tam ULA 1 ommunication hallenges On-hip Issues

More information

Silicon Photonics Opportunity, applications & Recent Results

Silicon Photonics Opportunity, applications & Recent Results Silicon Photonics Opportunity, applications & Recent Results Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Intel Corporation www.intel.com/go/sp Purdue University Oct 5 2007 Agenda

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Ho Young Kim, Robert Maxwell, Ankil Patel, Byeong Kil Lee Abstract The purpose of this study is to analyze and compare the

More information

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Department of Electrical and Computer Engineering Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits Wei-Ping Huang Department of Electrical and Computer Engineering McMaster

More information

Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Customized Computing for Power Efficiency. There are Many Options to Improve Performance ustomized omputing for Power Efficiency Jason ong cong@cs.ucla.edu ULA omputer Science Department http://cadlab.cs.ucla.edu/~cong There are Many Options to Improve Performance Page 1 Past Alternatives

More information

Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip.

Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip. Cross-Layer Thermal Reliability Management in Silicon Photonic Networks-on-Chip Sudeep Pasricha, Sai Vineel Reddy Chittamuru, Ishan G. Thakkar Department of Electrical and Computer Engineering Colorado

More information

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Atsushi Iwata, 1 Takeshi Doi, 1 Makoto Nagata, 1 Shin Yokoyama 2 and Masataka Hirose 1,2 1 Department of Physical Electronics Engineering

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun, and Vladimir Stojanović Department of Electrical Engineering and Computer

More information

On-Chip Optical Interconnects: Prospects and Challenges

On-Chip Optical Interconnects: Prospects and Challenges 6th International Conference of Soft Computing and Pattern Recognition, August 11-14, 2014, Tunis, Tunisia On-Chip Optical Interconnects: Prospects and Challenges Abderazek Ben Abdallah The University

More information

OTemp: Optical Thermal Effect Modeling Platform User Manual

OTemp: Optical Thermal Effect Modeling Platform User Manual OTemp: Optical Thermal Effect Modeling Platform User Manual Version 1., July 214 Mobile Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

EPIC: The Convergence of Electronics & Photonics

EPIC: The Convergence of Electronics & Photonics EPIC: The Convergence of Electronics & Photonics K-Y Tu, Y.K. Chen, D.M. Gill, M. Rasras, S.S. Patel, A.E. White ell Laboratories, Lucent Technologies M. Grove, D.C. Carothers, A.T. Pomerene, T. Conway

More information

Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited)

Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited) Silicon Photonics III Conference, Photonics West 2008, San Jose, CA, USA Cascaded active silicon microresonator array cross-connect circuits for WDM networks-on-chip (invited) Andrew W. Poon, Fang Xu,

More information

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chuck Tabbert and Charlie Kuznia Ultra Communications, Inc. 990 Park Center Drive, Suite H Vista, CA, USA, 92081 ctabbert@

More information

Scaling Silicon *anophotonic Interconnects

Scaling Silicon *anophotonic Interconnects Scaling Silicon *anophotonic Interconnects "#$%& '()*+',-.$&. #*//0- )"1,$23+4/1/%&,. 0/4$'/# S+#$6+%,. 7+%$*+,8"%$- 7$'.+1 9$*#(% 1 Silicon *anophotonics2 Opportunities Optical I/O Capacity x distance

More information

VLSI, MCM, and WSI: A Design Comparison

VLSI, MCM, and WSI: A Design Comparison VLSI, MCM, and WSI: A Design Comparison EARL E. SWARTZLANDER, JR. University of Texas at Austin Three IC technologies result in different outcomes performance and cost in two case studies. The author compares

More information

SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip

SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems SPECTRA: A Framework for Thermal Reliability Management in Silicon-Photonic Networks-on-Chip

More information

WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on

WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on WiSync: An Architecture for Fast Synchroniza5on through On- Chip Wireless Communica5on Sergi Abadal (abadal@ac.upc.edu) Albert Cabellos- Aparicio, Eduard Alarcón, Josep Torrellas UPC and UIUC ASPLOS 16

More information

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures

Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures Avinash Kodi, Kyle Shiflett, Savas Kaya and Soumyasanta Laha Department of Electrical Engineering and Computer Science Ohio University,

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) !

! Couplers. ! Isolators/Circulators. ! Multiplexers/Filters. ! Optical Amplifiers. ! Transmitters (lasers,leds) ! Detectors (receivers) ! Components of Optical Networks Based on: Rajiv Ramaswami, Kumar N. Sivarajan, Optical Networks A Practical Perspective 2 nd Edition, 2001 October, Morgan Kaufman Publishers Optical Components! Couplers!

More information

Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks

Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks Optical Networking in the Layered Internet Model Awaited Emerging Optical Components for All-Optical Ultra-Dense WDM-Networks Bo Willén, KTH Problems Applications Keep contact Network access End Users

More information

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs

Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Photonics Integration and Evolution of the Optical Transceiver Presented by: Giacomo Losio ProLabs Optical Transceivers architecture is challenged Electrical Driver TIA Laser Photodiode Optical Optical

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

Towards Energy-Propor1onal Op1cal Interconnects

Towards Energy-Propor1onal Op1cal Interconnects Towards Energy-Proporonal Opcal Interconnects Nikos Hardavellas, Northwestern University Yigit Demir, Computa8onal Lithography, Intel OPTICS Workshop March 8 th, 206 par8ally supported by NSF award CCF-453853

More information

Cisco s CLEC Networkers Power Session

Cisco s CLEC Networkers Power Session Course Number Presentation_ID 1 Cisco s CLEC Networkers Power Session Session 2 The Business Case for ONS 15800 3 What s Driving the Demand? Data Voice 4 What s Driving the Demand? Internet 36,700,000

More information

On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration

On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration 1 On the Area and Energy Scalability of Wireless Network-on-Chip: A Model-based Benchmarked Design Space Exploration Sergi Abadal, Mario Iannazzo, Mario Nemirovsky, Albert Cabellos-Aparicio, Heekwan Lee

More information

High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers

High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers Journal of Physics: Conference Series High-speed silicon-based microring modulators and electro-optical switches integrated with grating couplers To cite this article: Xi Xiao et al 2011 J. Phys.: Conf.

More information

1 Introduction. Research article

1 Introduction. Research article Nanophotonics 2018; 7(4): 727 733 Research article Huifu Xiao, Dezhao Li, Zilong Liu, Xu Han, Wenping Chen, Ting Zhao, Yonghui Tian* and Jianhong Yang* Experimental realization of a CMOS-compatible optical

More information

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE

Benjamin G. Lee, Member, IEEE, Aleksandr Biberman, Student Member, IEEE, Johnnie Chan, Student Member, IEEE, and Keren Bergman, Fellow, IEEE 6 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 16, NO. 1, JANUARY/FEBRUARY 2010 High-Performance Modulators and Switches for Silicon Photonic Networks-on-Chip Benjamin G. Lee, Member, IEEE,

More information

AS core count increases in manycore systems to support

AS core count increases in manycore systems to support IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 5, MAY 2017 801 Adaptive Tuning of Photonic Devices in a Photonic NoC Through Dynamic Workload Allocation José

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Jason Cong, Glenn Reinman.

Jason Cong, Glenn Reinman. RF Interconnects for Communications On-chip 1 M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies Zukunftstechnologie Dünnglasbasierte elektrooptische Baugruppenträger Dr. Henning Schröder Fraunhofer IZM, Berlin, Germany Today/Overview Motivation: external roadmaps High Bandwidth and Channel Density

More information

Evaluation of Using Inductive/Capacitive-Coupling Vertical Interconnects in 3D Network-on-Chip

Evaluation of Using Inductive/Capacitive-Coupling Vertical Interconnects in 3D Network-on-Chip Evaluation of Using Inductive/Capacitive-Coupling Vertical Interconnects in 3D Network-on-Chip Jin Ouyang, Jing Xie, Matthew Poremba, Yuan Xie Department of Computer Science and Engineering, the Pennsylvania

More information

Silicon Nanophotonics for Many-Core On-Chip Networks

Silicon Nanophotonics for Many-Core On-Chip Networks University of Colorado, Boulder CU Scholar Electrical, Computer & Energy Engineering Graduate Theses & Dissertations Electrical, Computer & Energy Engineering Spring 4-1-2013 Silicon Nanophotonics for

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

Computing of the Future

Computing of the Future -Overview- S. J. Ben Yoo, Venkatesh Akella, Keren Bergman, Horst Simon yoo@ece.ucdavis.edu http://sierra.ece.ucdavis.edu What would Computing be like 20 years from Now? (1) brainstorm on future computing

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding

Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mitigation of Mode Partition Noise in Quantum-dash Fabry-Perot Mode-locked Lasers using Manchester Encoding Mohamed Chaibi*, Laurent Bramerie, Sébastien Lobo, Christophe Peucheret *chaibi@enssat.fr FOTON

More information

On Chip High Speed Interconnects: Trade offs in Passive Compensation

On Chip High Speed Interconnects: Trade offs in Passive Compensation On Chip High Speed Interconnects: Trade offs in Passive Compensation Term Project: ECE469 High Speed Integrated Electronics Raj Parihar Problem Statement Scaling and Current Scenario Increasing Chip Complexity

More information