Customized Computing for Power Efficiency. There are Many Options to Improve Performance

Size: px
Start display at page:

Download "Customized Computing for Power Efficiency. There are Many Options to Improve Performance"

Transcription

1 ustomized omputing for Power Efficiency Jason ong ULA omputer Science Department There are Many Options to Improve Performance Page 1

2 Past Alternatives -- Frequency Scaling Source : Shekhar Borkar, Intel urrent Alternatives: Parallelization Parallelization Source : Shekhar Borkar, Intel Page 2

3 Multi-core Processors Sun UltraSPAR T2 Microprocessor 8 ores 64 threads Tilera TILE64 multi-core Processor Warehouse of omputers IBM BlueGene/L No.1 in the newest Top500 Page 3

4 But Power Remain to Be a Limiting Factor ost of computing HW acquisition Energy bill Heat removal Space Power Will Be the Driver for Acceptance of ustomized omputing Parallelization ustomization Source : Shekhar Borkar, Intel Page 4

5 ULA Experience -- Lithography Simulation Acceleration Simulation of the optical imaging process omputational intensive and quite slow for full-chip simulation Synthesized into Stratix-II FPGA on XDI platform using AutoPilot Experiment Results [FPGA 2008] 15X speedup using a 5 by 5 partitioning over Opteron 2.2G 4G RAM Logic utilization around 25K ALUT (and 8K is used in the interface framework rather than design) Power utilization less than 15W in FPGA comparing with 86W in Opteron248 lose to 100X (5.8 x 15) improvement on energy efficiency Page 5

6 A Lot More is Needed for Power-Efficient ustomized omputing More power-efficient efficient programmable fabrics apability to do power gating, voltage and frequency scaling A A powerful, fully automated /++ to FPGA compiler Taking full advantages of various power optimization options in a transparent way ustomization beyond just FPGA fabrics Application-specific instruction-set set processors (ASIP) Application-specific processor networks (ASPN) More power efficient programmable (global) interconnects E.g., RF-interconnects RF-Interconnects -- Power Efficient Programmable (Global) Interconnect Solution Page 6

7 Limited R Wires Bandwidth f 45nm MOS Technology Data Rate: 4 Gbit/s f T of 45nm MOS can be as high as 240GHz Baseband signal bandwidth only about 4GHz 98.4% of available bandwidth is wasted Open Question: How to take advantage of full-bandwidth of modern MOS? ULA 90nm MOS VO at 324GHz (ISS 2008) GHz VO -80 MOS VO designed by Frank hang s group at ULA, fabricated in 90nm process Pout (dbm) Frequency (GHz) MOS Voltage ontrolled Oscillator, measured with a subharmonic mixer and driven with a 80 GHz synthesizer local oscillator. The mixing frequency is (f( VO - 4*f LO )=f IF, or f VO -4*(80 GHz)= 3.5 GHz, yielding f VO = GHz! On-Wafer VO Test Setup at JPL *Huang, D., LaRocca T., hang, M.-. F., 324GHz MOS Frequency Generator Using Linear Superposition Technique IEEE International Solid-State ircuits onference (ISS), , (Feb 2008) San Francisco, A Page 7

8 Multiband RF-Interconnect Signal Spectrum Signal Power Signal Power Signal Power Signal Power In TX, each mixer up-converts individual baseband streams into specific frequency band (or channel) N different data streams (N=6 in exemplary figure above) may transmit simultaneously on the shared transmission medium to achieve higher aggregate data rates In RX, individual signals are down-converted by mixer, and recovered after low-pass filter Advantages of RF-Interconnect (RF-I) Latency speed-of of-light data transmission Bandwidth high aggregate data rate through simultaneous transmissions on multiple bands of RF modulated signals Area avoid extensive use of repeaters Energy low overall energy bit Reconfigurability efficient bidirectional and tunable communications via shared on/off-chip transmission lines or off-chip antennas Page 8

9 Simple RF-I I Topology Four No omponents Tunable Tx/Rx /Rx s Arbitrary topologies Arbitrary bandwidths RF-I Transmission Line Bundle > > > > > > > > No omponent One physical topology can be configured to many virtual topologies Tx/Rx Pipeline/Ring Bus Multicast Fully rossbar onnected RF-I I for Multi-ore On-hip ommunication [HPA 2008, MIRO 2008] 10x10 mesh of pipelined routers No runs at 2GHz XY routing 64 4GHz 3-wide 3 processor cores Labeled aqua 8KB L1 Data ache 8KB L1 Instruction ache 32 L2 ache Banks Labeled pink 256KB each Organized as shared NUA cache 4 Main Memory Interfaces Labeled green RF-I I transmission line bundle Black thick line spanning mesh Page 9

10 RF-I I Logical Organization Logically: - RF-I behaves as set of N express channels - Each channel assigned to src, dest router pair (s,d) Reconfigured by: - remapping shortcuts to match needs of different applications LOGIAL BA Power Savings bytes bytes A Requires high bw to communicate w/ B We can thin the baseline mesh links From 16B to 8B to 4B B RF-I I makes up the difference in performance while saving overall power! RF-I I provides bandwidth where most necessary Baseline R wires supply the rest Over 60% power reduction A lot of potential for global interconnects in programmable fabrics Page 10

11 oncluding Remarks -- A Lot Opportunities in Power- Efficient ustomized omputing More power-efficient efficient programmable fabrics Options to do power gating, voltage and frequency scaling A A powerful, fully automated /++ to FPGA compiler Taking full advantages of power optimization options in a transparent way ustomization beyond just FPGA fabrics Application-specific instruction-set set processors (ASIP) Application-specific processor networks (ASPN) More power efficient programmable (global) interconnects E.g., RF-interconnects Page 11

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA

Multiband RF-Interconnect for Reconfigurable Network-on-Chip Communications UCLA Multiband RF-Interconnect for Reconfigurable Network-on-hip ommunications Jason ong (cong@cs.ucla.edu) Joint work with Frank hang, Glenn Reinman and Sai-Wang Tam ULA 1 ommunication hallenges On-hip Issues

More information

RF-Interconnect for Communications On-Chip. Current Trend in CMP - NoC

RF-Interconnect for Communications On-Chip. Current Trend in CMP - NoC F-Interconnect for ommunications On-hip Frank hang 1, Jason ong 2, Glenn einman 2 Eran Socher 1, occo Tam 1 Department of Electrical Engineering 1 Department of omputer Science 2 urrent Trend in MP - No

More information

RF-Interconnect for Communications On-Chip

RF-Interconnect for Communications On-Chip F-Interconnect for ommunications On-hip Frank hang 1, Jason ong 2, Adam Kaplan 2, Mishali Naik 2, Glenn einman 2 Eran Socher 1, occo Tam 1 Department of Electrical Engineering 1 Department of omputer Science

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

Spectral Monitoring/ SigInt

Spectral Monitoring/ SigInt RF Test & Measurement Spectral Monitoring/ SigInt Radio Prototyping Horizontal Technologies LabVIEW RIO for RF (FPGA-based processing) PXI Platform (Chassis, controllers, baseband modules) RF hardware

More information

FEATURES DESCRIPTION BENEFITS APPLICATIONS. Preliminary PT4501 Sub-1 GHz Wideband FSK Transceiver

FEATURES DESCRIPTION BENEFITS APPLICATIONS. Preliminary PT4501 Sub-1 GHz Wideband FSK Transceiver Preliminary PT4501 Sub-1 GHz Wideband FSK Transceiver DESCRIPTION The PT4501 is a highly integrated wideband FSK multi-channel half-duplex transceiver operating in sub-1 GHz license-free ISM bands. The

More information

A HIGH SPEED MICROWAVE MEASUREMENT RECEIVER

A HIGH SPEED MICROWAVE MEASUREMENT RECEIVER A HIGH SPEED MICROWAVE MEASUREMENT RECEIVER William L. Tuttle ABSTRACT In order to justify the expenditure for capital equipment such as a microwave receiver, it must be shown that the instrument provides

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS EECS240 Spring 2009 Advanced Analog Integrated Circuits Lecture 1: Introduction Elad Alon Dept. of EECS Course Focus Focus is on analog design Typically: Specs circuit topology layout Will learn spec-driven

More information

Specifications and Interfaces

Specifications and Interfaces Specifications and Interfaces Crimson TNG is a wide band, high gain, direct conversion quadrature transceiver and signal processing platform. Using analogue and digital conversion, it is capable of processing

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics

Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics Christopher Batten 1, Ajay Joshi 1, Jason Orcutt 1, Anatoly Khilo 1 Benjamin Moss 1, Charles Holzwarth 1, Miloš Popović 1,

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays.

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays. 22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays www.infoplc.net The Art of Benchmarking Speed PXI Versus Rack-and-Stack Test Equipment Filippo Persia Systems Engineer Automated Test Mediterranean Region

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

Getting started with OPENCORE NMR spectrometer. --- Installation and connection ---

Getting started with OPENCORE NMR spectrometer. --- Installation and connection --- Getting started with OPENCORE NMR spectrometer --- Installation and connection --- Assembly USB The USB module is bus-powered. That is, DC power is provided by the personal computer via the USB cable.

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

Multiband Public Safety Radio using a Multiband RFIC with an RF Multiplexer-based Antenna Interface

Multiband Public Safety Radio using a Multiband RFIC with an RF Multiplexer-based Antenna Interface Multiband Public Safety Radio using a Multiband RFIC with an RF Multiplexer-based Antenna Interface S.M. Hasan and S. W. Ellingson Wireless at Virginia Tech Bradley Dept. of ECE, Virginia Tech, Blacksburg,

More information

Wavedancer A new ultra low power ISM band transceiver RFIC

Wavedancer A new ultra low power ISM band transceiver RFIC Wavedancer 400 - A new ultra low power ISM band transceiver RFIC R.W.S. Harrison, Dr. M. Hickson Roke Manor Research Ltd, Old Salisbury Lane, Romsey, Hampshire, SO51 0ZN. e-mail: roscoe.harrison@roke.co.uk

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours EECS240 Spring 2012 Advanced Analog Integrated Circuits Lecture 1: Introduction Teaching Staff Elad s office hours 519 Cory Hall Tues. and Thurs. 11am-12pm (right after class) GSI: Pierluigi Nuzzo Weekly

More information

Abstract. Keywords. 1. Introduction. 2. Organization of paper. A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3

Abstract. Keywords. 1. Introduction. 2. Organization of paper. A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3 Software Defined Radio Principles and Platforms A.M.Lalge 1, M.S.Karpe 2, S.U.Bhandari 3 Abstract Software Defined Radio (SDR) is defined as radio in which some or all of the physical layer functions are

More information

PoC #1 On-chip frequency generation

PoC #1 On-chip frequency generation 1 PoC #1 On-chip frequency generation This PoC covers the full on-chip frequency generation system including transport of signals to receiving blocks. 5G frequency bands around 30 GHz as well as 60 GHz

More information

Today s mobile devices

Today s mobile devices PAGE 1 NOVEMBER 2013 Highly Integrated, High Performance Microwave Radio IC Chipsets cover 6-42 GHz Bands Complete Upconversion & Downconversion Chipsets for Microwave Point-to-Point Outdoor Units (ODUs)

More information

Jason Cong, Glenn Reinman.

Jason Cong, Glenn Reinman. RF Interconnects for Communications On-chip 1 M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

When to use an FPGA to prototype a controller and how to start

When to use an FPGA to prototype a controller and how to start When to use an FPGA to prototype a controller and how to start Mark Corless, Principal Application Engineer, Novi MI Brad Hieb, Principal Application Engineer, Novi MI 2015 The MathWorks, Inc. 1 When to

More information

Software radio. Software program. What is software? 09/05/15 Slide 2

Software radio. Software program. What is software? 09/05/15 Slide 2 Software radio Software radio Software program What is software? 09/05/15 Slide 2 Software radio Software program What is software? Machine readable instructions that direct processor to do specific operations

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

RF Interconnects for Communications On-chip*

RF Interconnects for Communications On-chip* RF Interconnects for Communications On-chip* M.-C. Frank Chang, Eran Socher, Sai-Wang Tam Electrical Engineering Dept. UCLA Los Angeles, CA 90095 001-1-310-794-1633 {mfchang,socher,roccotam}@ee.ucla.edu

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO 82 Journal of Marine Science and Technology, Vol. 21, No. 1, pp. 82-86 (213) DOI: 1.6119/JMST-11-123-1 A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz MOS VO Yao-hian Lin, Mei-Ling Yeh, and hung-heng hang

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

IZT S5000 Multichannel Signal Source for Real-Time RF Environment Simulation

IZT S5000 Multichannel Signal Source for Real-Time RF Environment Simulation www.izt-labs.de IZT S5000 Multichannel Signal Source for Real-Time RF Environment Simulation Multi-Standard Test Source RF Signal Player COMINT Stimulator 700 MHz Bandwidth Up to fourteen outputs IZT S5000

More information

A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network

A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network A GALS Many-Core Heterogeneous DSP Platform with Source-Synchronous On-Chip Interconnection Network Anh Tran, Dean Truong and Bevan Baas University of California, Davis NOCS 09 May 13, 009 Outline Motivation

More information

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand

RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand RF and Microwave Test and Design Roadshow 5 Locations across Australia and New Zealand Advanced PXI Technologies Signal Recording, FPGA s, and Synchronization Outline Introduction to the PXI Architecture

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

RF Considerations for Wireless Systems Design. Frank Jimenez Manager, Technical Support & Service

RF Considerations for Wireless Systems Design. Frank Jimenez Manager, Technical Support & Service RF Considerations for Wireless Systems Design Frank Jimenez Manager, Technical Support & Service 1 The Presentation Objective We will cover.. The available wireless spectrum 802.11 technology and the wireless

More information

Metadata of the Book that will be visualized online

Metadata of the Book that will be visualized online Metadata of the Book that will be visualized online Book Title Low Power Networks-on-Chip Book SubTitle Copyright Year 2011 Copyright Holder Springer Science+Business Media, LLC Corresponding Author Family

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits MIT, Spring 2009 6.012 Microelectronic Devices and Circuits Charles G. Sodini Jing Kong Shaya Famini, Stephanie Hsu, Ming Tang Lecture 1 6.012 Overview Contents: Overview of 6.012 Reading Assignment: Howe

More information

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications SpectraTronix C700 Modular Test & Development Platform Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications Design, Test, Verify & Prototype All with the same tool

More information

3V TRANSCEIVER 2.4GHz BAND

3V TRANSCEIVER 2.4GHz BAND 3V TRANSCEIVER 2.4GHz BAND Rev. 2 Code: 32001271 QUICK DESCRIPTION: IEEE 802.15.4 compliant transceiver operating in the 2.4 GHz ISM band with extremely compact dimensions. The module operates as an independent

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

Development of Software Defined Radio (SDR) Receiver

Development of Software Defined Radio (SDR) Receiver Journal of Engineering and Technology of the Open University of Sri Lanka (JET-OUSL), Vol.5, No.1, 2017 Development of Software Defined Radio (SDR) Receiver M.H.M.N.D. Herath 1*, M.K. Jayananda 2, 1Department

More information

MULTIBAND PUBLIC SAFETY RADIO USING A MULTIBAND RFIC WITH AN RF MULTIPLEXER-BASED ANTENNA INTERFACE

MULTIBAND PUBLIC SAFETY RADIO USING A MULTIBAND RFIC WITH AN RF MULTIPLEXER-BASED ANTENNA INTERFACE MULTIBAND PUBLIC SAFETY RADIO USING A MULTIBAND RFIC WITH AN RF MULTIPLEXER-BASED ANTENNA INTERFACE S.M. Shajedul Hasan (hasan@vt.edu) and Steven W. Ellingson (ellingson@vt.edu) Wireless at Virginia Tech,

More information

Preliminary features of the SDR-X receiver SDR-X , PowerSDR Winrad Winrad DDS SFDR SFDR AD995 AD99 1

Preliminary features of the SDR-X receiver SDR-X , PowerSDR Winrad Winrad DDS SFDR SFDR AD995 AD99 1 Preliminary features of the SDR-X receiver The SDR-X receiver, in its full version is capable of continuously tuning the entire HF spectrum, 6m ( 50-52 MHz) band included. SSB, AM etc. demodulation, bandpass

More information

Optical Networks emerging technologies and architectures

Optical Networks emerging technologies and architectures Optical Networks emerging technologies and architectures Faculty of Computer Science, Electronics and Telecommunications Department of Telecommunications Artur Lasoń 100 Gb/s PM-QPSK (DP-QPSK) module Hot

More information

Multiwavelength Optical Network Architectures

Multiwavelength Optical Network Architectures Multiwavelength Optical Network rchitectures Switching Technology S8. http://www.netlab.hut.fi/opetus/s8 Source: Stern-Bala (999), Multiwavelength Optical Networks L - Contents Static networks Wavelength

More information

IEEE Topical Symposium on Power Amplifiers for Wireless Communications: Matthew Poulton, David Aichele, Jason Martin 9/15/2009

IEEE Topical Symposium on Power Amplifiers for Wireless Communications: Matthew Poulton, David Aichele, Jason Martin 9/15/2009 IEEE Topical Symposium on Power Amplifiers for Wireless Communications: A Compact L Band GaN based 500W Power Amplifier Session 6: Base station, High Power Amplifiers Matthew Poulton, David Aichele, Jason

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

Intersatellites Channel Emulator

Intersatellites Channel Emulator Intersatellites Channel Emulator Technical Specifications The Intersatellites Channel Emulator is a very accurate Channel Emulator with RF (or low IF) input and RF (or low IF) output with an excess Bandwidth

More information

3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code:

3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code: 3V DUAL MODE TRANSCEIVER 434 MHz BAND Product Code: 32001269 Rev. 1.6 PRODUCT SUMMARY: Dual-mode transceiver operating in the 434 MHz ISM band with extremely compact dimensions. The module operates as

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION

ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 98 Chapter-5 ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION 99 CHAPTER-5 Chapter 5: ADVANCED EMBEDDED MONITORING SYSTEM FOR ELECTROMAGNETIC RADIATION S.No Name of the Sub-Title Page

More information

The Future of Software Radio

The Future of Software Radio The Future of Software Radio Virginia Tech VIRGINIA POLYTECHNIC INSTITUTE 1 8 7 2 AND STATE UNIVERSITY Dr. Jeffrey H. Reed Mobile and Portable Radio Research Group (MPRG) Virginia Tech Blacksburg, VA reedjh@vt.edu

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

JESD204A for wireless base station and radar systems

JESD204A for wireless base station and radar systems for wireless base station and radar systems November 2010 Maury Wood- NXP Semiconductors Deepak Boppana, an Land - Altera Corporation 0.0 ntroduction - New trends for wireless base station and radar systems

More information

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS P. Th. Savvopoulos. PhD., A. Apostolopoulos 2, L. Dimitrov 3 Department of Electrical and Computer Engineering, University of Patras, 265 Patras,

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

Research on Flexible radios. Dr. Krishnamurthy Soumyanath Intel Fellow Director, Communications Circuits Lab

Research on Flexible radios. Dr. Krishnamurthy Soumyanath Intel Fellow Director, Communications Circuits Lab Research on Flexible radios Dr. Krishnamurthy Soumyanath Intel Fellow Director, Communications Circuits Lab Tomorrow s needs Today 2008-10 2010+ WLAN WLAN WWAN WPAN WLAN WWAN WWAN Discrete Radios One/multi

More information

Antenna and RCS Measurement Configurations Using Agilent s New PNA Network Analyzers

Antenna and RCS Measurement Configurations Using Agilent s New PNA Network Analyzers Antenna and RCS Measurement Configurations Using Agilent s New PNA Network Analyzers John Swanstrom, Application Engineer, Agilent Technologies, Santa Rosa, CA Jim Puri, Applications Engineer, Agilent

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi, Christopher Batten, Yong-Jin Kwon, Scott Beamer, Imran Shamim, Krste Asanović, Vladimir Stojanović NOCS 2009 Massachusetts Institute

More information

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Umamaheswara Rao Tida 1 Cheng Zhuo 2 Yiyu Shi 1 1 ECE Department, Missouri University of Science and Technology 2 Intel Research, Hillsboro Outline

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator FlexDDS-NG DUAL Dual-Channel 400 MHz Agile Waveform Generator Excellent signal quality Rapid parameter changes Phase-continuous sweeps High speed analog modulation Wieserlabs UG www.wieserlabs.com FlexDDS-NG

More information

Lecture 1 Introduction to Solid State Electronics

Lecture 1 Introduction to Solid State Electronics EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 1 Introduction to Solid State Electronics Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

TECH BRIEF Addressing Phase Noise Challenges in Radar and Communication Systems

TECH BRIEF Addressing Phase Noise Challenges in Radar and Communication Systems Addressing Phase Noise Challenges in Radar and Communication Systems Phase noise is rapidly becoming the most critical factor addressed in sophisticated radar and communication systems. This is because

More information

Minimization of Overshoots and Ringing in MCM Interconnections

Minimization of Overshoots and Ringing in MCM Interconnections 106 VOL., NO., APRIL 007 Minimization of Overshoots and Ringing in MM Interconnections Rohit Sharma*, T. hakravarty, Sunil Bhooshan epartment of Electronics and ommunication Jaypee University of Information

More information

An Introduction to Software Radio

An Introduction to Software Radio An Introduction to Software Radio (and a bit about GNU Radio & the USRP) Eric Blossom eb@comsec.com www.gnu.org/software/gnuradio comsec.com/wiki USENIX / Boston / June 3, 2006 What's Software Radio? It's

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network

Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network Multiband NFC for High-Throughput Wireless Computer Vision Sensor Network Fei Y. Li, Jason Y. Du 09212020027@fudan.edu.cn Vision sensors lie in the heart of computer vision. In many computer vision applications,

More information

Demo board DC365A Quick Start Guide.

Demo board DC365A Quick Start Guide. August 02, 2001. Demo board DC365A Quick Start Guide. I. Introduction The DC365A demo board is intended to demonstrate the capabilities of the LT5503 RF transmitter IC. This IC incorporates a 1.2 GHz to

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Increasing Automotive Safety with 77/79 GHz Radar Solutions for ADAS Applications

Increasing Automotive Safety with 77/79 GHz Radar Solutions for ADAS Applications Increasing Automotive Safety with 77/79 GHz Radar Solutions for ADAS Applications FTF-AUT-F0086 Patrick Morgan Director, Safety Systems Business Unit Ralf Reuter Manager, Radar Applications and Systems

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Test & Measurement Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Modern radar systems serve a broad range of commercial, civil, scientific and military applications.

More information

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Digital Receiver Experiment or Reality Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Contents Definition of a Digital Receiver. Advantages of using digital receiver techniques.

More information

Tunable Wideband & Ultra-Wideband Multi- Antenna Transceivers with Integrated Recording, Playback & Processing

Tunable Wideband & Ultra-Wideband Multi- Antenna Transceivers with Integrated Recording, Playback & Processing 2016 Multi-Antenna Transceiver Systems Tunable Wideband & Ultra-Wideband Multi- Antenna Transceivers with Integrated Recording, Playback & Processing --- For ES, DF, COMS & EA 1 Multi-Antenna Systems D-TA

More information

Updates on THz Amplifiers and Transceiver Architecture

Updates on THz Amplifiers and Transceiver Architecture Updates on THz Amplifiers and Transceiver Architecture Sanggeun Jeon, Young-Chai Ko, Moonil Kim, Jae-Sung Rieh, Jun Heo, Sangheon Pack, and Chulhee Kang School of Electrical Engineering Korea University

More information

Agilent Antenna and RCS Measurement Configurations Using PNA Microwave Network Analyzers. White Paper

Agilent Antenna and RCS Measurement Configurations Using PNA Microwave Network Analyzers. White Paper Agilent Antenna and RCS Measurement Configurations Using PNA Microwave Network Analyzers White Paper Abstract As technology changes, new and different techniques for measuring and characterizing antenna

More information

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN 5.4: A 5GHz CMOS Transceiver for IEEE 802.11a Wireless LAN David Su, Masoud Zargari, Patrick Yue, Shahriar Rabii, David Weber, Brian Kaczynski, Srenik Mehta, Kalwant Singh, Sunetra Mendis, and Bruce Wooley

More information

Frequency 434=434MHz 868=868MHz 915=915MHz

Frequency 434=434MHz 868=868MHz 915=915MHz Ultra Low Power sub GHz Multichannels Transceiver The module is based on Texas Instruments CC0F component. This device combines a flexible, very low power RF transceiver with a powerful MHz Cortex M microcontroller

More information

Enabling Future Wireless Technology Research through Flexible & Modular Platforms

Enabling Future Wireless Technology Research through Flexible & Modular Platforms Enabling Future Wireless Technology Research through Flexible & Modular Platforms Richard Silley Business Development Manager RF & Communications Evolution of Wireless Communications How can we increase

More information

Matched EW/ECM Subsystems 2-18 GHz

Matched EW/ECM Subsystems 2-18 GHz FEATURES: FREQUENCY RANGE COMPLEMENTARY MATCHED Rx & Tx MODULES RF PROCESSOR & DRFM DIRECT INTERFACE HIGH SENSITIVITY HIGH DYNAMIC RANGE FOR MILITARY TACTICAL ENVIRONMENT GENERAL Datasheet 39 INTEGRATED

More information

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth

A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation over 42MHz Bandwidth A 1.7-to-2.2GHz Full-Duplex Transceiver System with >50dB Self-Interference Cancellation Tong Zhang, Ali Najafi, Chenxin Su, Jacques C. Rudell University of Washington, Seattle Feb. 8, 2017 International

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

II. LAB. * Open the LabVIEW program (Start > All Programs > National Instruments > LabVIEW 2012 > LabVIEW 2012)

II. LAB. * Open the LabVIEW program (Start > All Programs > National Instruments > LabVIEW 2012 > LabVIEW 2012) II. LAB Software Required: NI LabVIEW 2012, NI LabVIEW 4.3 Modulation Toolkit. Functions and VI (Virtual Instrument) from the LabVIEW software to be used in this lab: niusrp Open Tx Session (VI), niusrp

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

X-Band QTRM Product Capability QTRM - Quad Transmit Receive Module (4-Channel T/R Module)

X-Band QTRM Product Capability QTRM - Quad Transmit Receive Module (4-Channel T/R Module) MAIA-009446-000000 X-Band QTRM Product Capability QTRM - Quad Transmit Receive Module (4-Channel T/R Module) RS485 Half-Duplex, 5.0 Mbps serial data bus for control and monitoring. DSP externally programmable

More information

Propsim C8 MIMO Extension. 4x4 MIMO Radio Channel Emulation

Propsim C8 MIMO Extension. 4x4 MIMO Radio Channel Emulation Propsim C8 MIMO Extension 4x4 MIMO Radio Channel Emulation Propsim C8 provides a flexible platform for Multiple Input Multiple Output (MIMO) development and evaluation. With a maximum number of 16 independent

More information

Challenges in Transition

Challenges in Transition Challenges in Transition Keynote talk at International Workshop on Software Engineering Methods for Parallel and High Performance Applications (SEM4HPC 2016) 1 Kazuaki Ishizaki IBM Research Tokyo kiszk@acm.org

More information

SDR OFDM Waveform design for a UGV/UAV communication scenario

SDR OFDM Waveform design for a UGV/UAV communication scenario SDR OFDM Waveform design for a UGV/UAV communication scenario SDR 11-WInnComm-Europe Christian Blümm 22nd June 2011 Content Introduction Scenario Hardware Platform Waveform TDMA Designing and Testing Conclusion

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

SAMPLING FREQUENCY SELECTION SCHEME FOR A MULTIPLE SIGNAL RECEIVER USING UNDERSAMPLING

SAMPLING FREQUENCY SELECTION SCHEME FOR A MULTIPLE SIGNAL RECEIVER USING UNDERSAMPLING SAMPLING FREQUENCY SELECTION SCHEME FOR A MULTIPLE SIGNAL RECEIVER USING UNDERSAMPLING Yoshio Kunisawa (KDDI R&D Laboratories, yokosuka, kanagawa, JAPAN; kuni@kddilabs.jp) ABSTRACT A multi-mode terminal

More information