Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net

Size: px
Start display at page:

Download "Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net"

Transcription

1 22 nd IEEE Workshop on Signal and Power Integrity, Brest, FRANCE May 25, 2018 Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net Akira Tsuchicya 1, Akitaka Hiratsuka 2, Toshiyuki Inoue 1, Keiji Kishine 1, Hidetoshi Onodera 2 1 The University of Shiga Prefecture 2 Kyoto University This work is supported by JSPS KAKENHI (Grant Number 16K18092) 1

2 Agenda Background and motivation Simulation setup Simulation results Conclusion and future work 2

3 Background Parallel, high-density optical interconnect for high speed data transfer Demand on small area analog front-end Inductor for peaking: ~ 100 mm Inductors needed for bandwidth enhancement Huge area occupied by inductors Signal Ground Signal Ground ch2 ch1 200mm 200mm TIA + Post amp. 3

4 Small Area Inductor Spiral Inductor Solenoid Inductor over 100 mm around mm Top (Thick) metal only High Q-factor Huge area Use lower layers Low Q-factor Small area Solenoid inductor is effective for inductive peaking 4

5 More Area-Saving Is it OK to stack inductor on circuits? Solenoid is smaller, but still needs >50mm Nothing under inductor Modeling accuracy Prevent coupling If stacking is OK, we can save area more Aim of this paper: Investigating coupling between inductor and PDN 5

6 Stacking Inductor on Circuit Power/Ground ring Poly resistor MOS PDN Largest facing area to inductor is PDN (power delivery network) How should we design PDN under inductor? 6

7 Agenda Background and motivation Simulation setup Power/Ground network structure Structure of inductor Simulation results Conclusion and future work 7

8 Power/Ground Structure Inner ring: Circuit s P/G Outer ring: Ideal P/G Core: Area for circuit (amplifier) (a) Sparse (b) Mesh (c) Woven 8

9 3D Image of Mesh and Woven (b) Mesh (c) Woven GND VDD VDD VDD GND VDD GND GND VDD GND Mesh structure Woven structure 9

10 Comparison (a) Sparse Minimize C coupling Small decoupling (b) Mesh M2 (GND) couples stronger Large decoupling (c) Woven Couplings are balanced Large decoupling * decoupling: decoupling capacitance between Vdd and GND 10

11 Parameters # of layers of inductor Size and position of inner ring (core area) 11

12 Agenda Background and motivation Simulation setup Simulation results Evaluation in inductance/capacitance value Evaluation in transient analysis Conclusion and future work 12

13 Inductive Coupling (Coupling Coeff.) Coupling coeff.: k = M L 1 L 2 * dot: zero offset of inner-ring * Error-bar: effect of offset of inner ring Increasing #layers = inductor get closer to PDN, but In Mesh and Woven, coupling coeff. decreases 13

14 Inductive Coupling (Mutual Inductance) k = M L 1 L 2 In Mesh and Woven, L of PDN is small Small coupling coefficient Dense PDN can make inductive coupling almost zero even #layer increases 14

15 Capacitive Coupling (Coupling Coeff.) Much larger than inductive coupling coefficient (< 0.05) * Coupling between Inductor-GND Note: Coupling of Mesh is largest, but Inductor-Vdd coupling of Mesh is almost zero Sparse structure has larger coupling 15

16 Capacitive Coupling (Coupling Capacitance) Sparse is small or comparable to Woven, but Woven has larger Vdd-GND capacitance -> Coupling coefficient of Sparse becomes larger 16

17 Transient Analysis on SPICE Periodic pulse 50ps pulse width 20ps rise/fall time (20Gbps signaling) Evaluation point Coupled π-model for power/ground/inductor network 17

18 Noise Peak-to-peak Voltage Larger noise when #layer = 4 (next slide) Sparse causes larger noise Difference between Mesh and Woven are small 18

19 Input Impact of Resonance Noise transfer function from aggressor to inner ring 20dB Output Aggressor input is 20Gbps = 10GHz clock Mesh also has resonant frequency near 10 GHz, but transfer function is 20dB smaller 19

20 Noise Waveform Sparse, #layer = 4 Mesh, #layer=4 Resonance make noise larger Mesh and Woven can reduce impact of resonance 20

21 Agenda Background and motivation Simulation setup Simulation results Conclusion and future work 21

22 Summary Coupling of inductor and PDN is investigated Stacking Inductor on circuit Test 3 structures: Sparse, Mesh, Woven PDN should have dense P/G wires Even inductor get closer, Mesh and Woven are better than Sparse Sparse has a risk of large noise due to resonance Dense structure (Mesh and Woven) can suppress the impact of resonance 22

23 Future Work Remaining questions: - Coupling to substrate is not discussed - Mesh/Woven might shield coupling to substrate - Is lumped model adequate? Chip fabrication is completed Now we are preparing real chip measurement Stacked inductor/amp. 23

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

HMC6590. transimpedance amplifiers - chip. 43 Gbps Transimpedance Amplifier. Typical Applications. Features. Functional Diagram. General Description

HMC6590. transimpedance amplifiers - chip. 43 Gbps Transimpedance Amplifier. Typical Applications. Features. Functional Diagram. General Description Typical Applications The is ideal for: 40 GbE-FR 40 GBps VSR / SFF Short, intermediate, and long-haul optical receivers Features Supports data rates up to 43 Gbps Internal DCA feedback with external adjustment

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK Design Assistance Assembly Assistance

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Performance Enhancement For Spiral Indcutors, Design And Modeling

Performance Enhancement For Spiral Indcutors, Design And Modeling Performance Enhancement For Spiral Indcutors, Design And Modeling Mohammad Hossein Nemati 16311 Sabanci University Final Report for Semiconductor Process course Introduction: How to practically improve

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

High Current Amplifier

High Current Amplifier High Current Amplifier - Introduction High Current Amplifier High current amplifier is often a very useful piece of instrument to have in the lab. It is very handy for increasing the current driving capability

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Miniature 3-D Inductors in Standard CMOS Process

Miniature 3-D Inductors in Standard CMOS Process IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 4, APRIL 2002 471 Miniature 3-D Inductors in Standard CMOS Process Chih-Chun Tang, Student Member, Chia-Hsin Wu, Student Member, and Shen-Iuan Liu, Member,

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Figure 1. Inductance

Figure 1. Inductance Tools for On-Chip Interconnect Inductance Extraction Jerry Tallinger OEA International Inc. 155 East Main Ave., Ste. 110 Morgan Hill, CA 95037 jerry@oea.com Haris Basit OEA International Inc. 155 East

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor A 484µm, GHz LC-VCO Beneath a Stacked-Spiral Inductor Rui Murakami, Kenichi Okada, and Akira Tokyo Institute of Technology, Japan 00/09/8 Contents Background Downsizing of LC-VCO Circuit Stacking Beneath

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material April 28, 2010 Yu Xuequan, Yanhang, Zhang Gezi, Wang Haisan Huawei Technologies CO., LTD. Shanghai, China Tony_yu@huawei.com

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

THROUGH-SILICON-VIA (TSV) is a popular choice to

THROUGH-SILICON-VIA (TSV) is a popular choice to 1900 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 12, DECEMBER 2014 Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling Yarui

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information

Embedded inductor design and electromagnetic compatibility issues

Embedded inductor design and electromagnetic compatibility issues Embedded inductor design and electromagnetic compatibility issues J. Kundrata, D.Bandic and A. Baric University of Zagreb IMOLA Final Workshop Slide 1/22 Outline Design challenges Planar inductor designs

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 11: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Exam 1 is on Wed. Oct 3

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

A NOISE SUPPRESSION TECHNIQUE USING DUAL LAYER SPIRALS WITH VARIOUS GROUND STRUC- TURE FOR HIGH-SPEED PCBS

A NOISE SUPPRESSION TECHNIQUE USING DUAL LAYER SPIRALS WITH VARIOUS GROUND STRUC- TURE FOR HIGH-SPEED PCBS Progress In Electromagnetics Research B, Vol. 46, 337 356, 2013 A NOISE SUPPRESSION TECHNIQUE USING DUAL LAYER SPIRALS WITH VARIOUS GROUND STRUC- TURE FOR HIGH-SPEED PCBS Tong-Ho Chung, Hee-Do Kang, Tae-Lim

More information

A Fully-Integrated Buck Converter Design and Implementation for On-Chip Power Supplies

A Fully-Integrated Buck Converter Design and Implementation for On-Chip Power Supplies 1270 JOURNAL OF COMPUTERS, VOL. 7, NO. 5, MAY 2012 A Fully-Integrated Buck Converter Design and Implementation for On-Chip Power Supplies Qinghua Li Engineering Research Center of Expressway Construction

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

Broadband Substrate to Substrate Interconnection

Broadband Substrate to Substrate Interconnection Progress In Electromagnetics Research C, Vol. 59, 143 147, 2015 Broadband Substrate to Substrate Interconnection Bo Zhou *, Chonghu Cheng, Xingzhi Wang, Zixuan Wang, and Shanwen Hu Abstract A broadband

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

Target Impedance and Rogue Waves

Target Impedance and Rogue Waves TITLE Target Impedance and Rogue Waves Larry Smith (Qualcomm) Image Target Impedance and Rogue Waves Larry Smith (Qualcomm) Larry Smith Principal Power Integrity Engineer, Qualcomm Larrys@qti.qualcomm.com

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

A Coupled-Fed Reconfigurable Antenna for Internal LTE Mobile Phone Applications

A Coupled-Fed Reconfigurable Antenna for Internal LTE Mobile Phone Applications Progress In Electromagnetics Research Letters, Vol. 7, 39 44, 217 A Coupled-Fed Reconfigurable Antenna for Internal LTE Mobile Phone Applications Xinxing Zhong * Abstract In this paper, a multi-frequency

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

Design of a current probe for measuring ball-gridarray packaged devices

Design of a current probe for measuring ball-gridarray packaged devices Scholars' Mine Masters Theses Student Research & Creative Works Fall 2011 Design of a current probe for measuring ball-gridarray packaged devices Tianqi Li Follow this and additional works at: http://scholarsmine.mst.edu/masters_theses

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience und University Dept. of Electroscience EI170 Written Exam Integrated adio Electronics 2010-03-10, 08.00-13.00 he exam consists of 5 problems which can give a maximum of 6 points each. he total maximum

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects

+3.3V, 2.5Gbps Quad Transimpedance Amplifier for System Interconnects 19-1855 Rev 0; 11/00 +3.3V, 2.5Gbps Quad Transimpedance Amplifier General Description The is a quad transimpedance amplifier (TIA) intended for 2.5Gbps system interconnect applications. Each of the four

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

ECE4902 B2015 HW Set 1

ECE4902 B2015 HW Set 1 ECE4902 B2015 HW Set 1 Due in class Tuesday November 3. To make life easier on the graders: Be sure your NAME and ECE MAILBOX NUMBER are prominently displayed on the upper right of what you hand in. When

More information

Impedance Matching Techniques for Mixers and Detectors. Application Note 963

Impedance Matching Techniques for Mixers and Detectors. Application Note 963 Impedance Matching Techniques for Mixers and Detectors Application Note 963 Introduction The use of tables for designing impedance matching filters for real loads is well known [1]. Simple complex loads

More information

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Kyoungchoul Koo, Hyunjeong Park, Yujeong Shim and Joungho Kim Terahertz Interconnection and Package Laboratory, Dept.

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

On-Chip di/dt Detector Circuit

On-Chip di/dt Detector Circuit 782 IEICE TRANS. ELECTRON., VOL.E88 C, NO.5 MAY 2005 PAPER Special Section on Microelectronic Test Structures On-Chip di/dt Detector Circuit Toru NAKURA a), Student Member, Makoto IKEDA, and Kunihiro ASADA,

More information

Mentor Analog Simulators

Mentor Analog Simulators ENGR-434 Spice Netlist Syntax Details Introduction Rev 5/25/11 As you may know, circuit simulators come in several types. They can be broadly grouped into those that simulate a circuit in an analog way,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Optimization of Symmetric Spiral Inductors On Silicon Substrate

Optimization of Symmetric Spiral Inductors On Silicon Substrate Optimization of Symmetric Spiral Inductors On Silicon Substrate Hyunjin Lee, Joonho Gil, and Hyungcheol Shin Department of Electrical Engineering and Computer Science, KAIST -1, Guseong-dong, Yuseong-gu,

More information

Homework Assignment 05

Homework Assignment 05 Homework Assignment 05 Question (2 points each unless otherwise indicated)(20 points). Estimate the parallel parasitic capacitance of a mh inductor with an SRF of 220 khz. Answer: (2π)(220 0 3 ) = ( 0

More information

Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications

Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications Mixed-signal VLSI for 100G and beyond 100G optical transport system Why single-chip CMOS? So what is so difficult?

More information

IFSIN 4.- SUBSTRATE MODELING SUBSTRATE COUPLING

IFSIN 4.- SUBSTRATE MODELING SUBSTRATE COUPLING IFSIN 4.- SUBSTRATE MODELING SUBSTRATE COUPLING 1 Substrate coupling Introduction - 1 INTRODUCTION Types of substrates Substrate coupling problem Coupling mechanisms Modeling Detailed modeling Macromodeling

More information

LM2412 Monolithic Triple 2.8 ns CRT Driver

LM2412 Monolithic Triple 2.8 ns CRT Driver Monolithic Triple 2.8 ns CRT Driver General Description The is an integrated high voltage CRT driver circuit designed for use in high resolution color monitor applications. The IC contains three high input

More information

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications.

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications. DRIVER AMPLIFIER, DC - 3 GHz Typical Applications This is ideal for: 0 Gb/s Lithium Niobate/ Mach Zender Fiber Optic Modulators Broadband Gain Block for Test & Measurement Equipment Broadband Gain Block

More information

Customised Pack Sizes / Qtys. Support for all industry recognised supply formats: o o o. Waffle Pack Gel Pak Tape & Reel

Customised Pack Sizes / Qtys. Support for all industry recognised supply formats: o o o. Waffle Pack Gel Pak Tape & Reel Design Assistance Assembly Assistance Die handling consultancy Hi-Rel die qualification Hot & Cold die probing Electrical test & trimming Customised Pack Sizes / Qtys Support for all industry recognised

More information

Inductance 101: Analysis and Design Issues

Inductance 101: Analysis and Design Issues Inductance 101: Analysis and Design Issues Kaushik Gala, David Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating frequencies

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

Comparative analysis of single-band Wilkinson Power Dividers

Comparative analysis of single-band Wilkinson Power Dividers IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 3, Ver. II (May - Jun. 2014), PP 65-70 Comparative analysis of single-band Wilkinson

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

PCB Crosstalk Simulation Toolkit Mark Sitkowski Design Simulation Systems Ltd Based on a paper by Ladd & Costache

PCB Crosstalk Simulation Toolkit Mark Sitkowski Design Simulation Systems Ltd   Based on a paper by Ladd & Costache PCB Crosstalk Simulation Toolkit Mark Sitkowski Design Simulation Systems Ltd www.designsim.com.au Based on a paper by Ladd & Costache Introduction Many of the techniques used for the modelling of PCB

More information

Features. = +25 C, 50 Ohm System, Vcc = 5V

Features. = +25 C, 50 Ohm System, Vcc = 5V Typical Applications Prescaler for DC to X Band PLL Applications: Satellite Communication Systems Fiber Optic Point-to-Point and Point-to-Multi-Point Radios VSAT Functional Diagram v4.9 Features DIVIDE-BY-8,

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Final proposal due today Final proposal I should have signed group agreement now. I should have feedback

More information

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND

DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND DESIGN OF ZIGBEE RF FRONT END IC IN 2.4 GHz ISM BAND SUCHITAV KHADANGA RFIC TECHNOLOGIES, BANGALORE, INDIA http://www.rficdesign.com Team-RV COLLEGE Ashray V K D V Raghu Sanjith P Hemagiri Rahul Verma

More information

Constructing conducted emission models for integrated circuits

Constructing conducted emission models for integrated circuits Scholars' Mine Masters Theses Student Research & Creative Works Fall 2013 Constructing conducted emission models for integrated circuits Shuai Jin Follow this and additional works at: http://scholarsmine.mst.edu/masters_theses

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology

Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology Development of a 20 GS/s Sampling Chip in 130nm CMOS Technology 2009 IEEE Nuclear Science Symposium, Orlando, Florida, October 28 th 2009 Jean-Francois Genat On behalf of Mircea Bogdan 1, Henry J. Frisch

More information