Signal Integrity Modeling and Measurement of TSV in 3D IC

Size: px
Start display at page:

Download "Signal Integrity Modeling and Measurement of TSV in 3D IC"

Transcription

1 Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST 1

2 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel bandwidth, ISI and Equalization 4) Power integrity Design 5) Future TSV and Interposer Structure 6) Summary 2

3 Semiconductor Requirements for Smart Mobile Applications - Low power - High performance - Multi-function - Small size - Low cost 3

4 Mobile Application with Increasing I/O count and Bandwidth Bandwidth 50 GB/s Target on Mobile Applications! Wide I/O Ultra book 12.8 GB/s Wide I/O 6.4 GB/s iphone4 Galaxy S2 ipad2 Galaxy S3 iphone5 iphonex LPDDR3 ipadx 4.3 GB/s LPDDR2 3.2 GB/s LPDDR1 Bus width (IO count) Bus width (IO count) 4

5 Why Interposer in Mobile Application? Mobile application requires Faster computing Higher resolution display Longer battery life Compact size Memory Memory Memory Memory Large number of I/O count 10K Low power consumption reduced more than 50 % Short interconnect length hundreds of µm order TSV availability Dense routability Application processor Silicon Interposer Package 5

6 Low Power TB/s Bandwidth 3D IC Structure TB/s Coaxial TSV Analog Chips TB/s Waveguide Interconnect Stacked Memories Wireless Power Transfer Receiver Chip Antenna Chip RF Chip TB/s Coaxial TSV Embedded Si-based Devices Embedded Passive& Filter Processor Silicon Interposer Package Substrate Radioactivity Sensor MEMS & Bio Sensor Innovative new vertical & horizontal Interconnections for TB/s Bandwidth in 3D IC Low Power system using WPT chip Silicon Interposer Embedded Passive / Active Devices 6

7 Key Technology : TSV (Through Silicon Via) 3 rd Chip (Thinned Substrate) Short Interconnection Reduced RC Delays Low Impedance for Power Distribution Network Low Power Consumption Heat Dissipation Through Via 2 nd Chip (Thinned Substrate) 1 st Chip Under fill Dielectric Dielectric Under fill Multi-level On-chip Interconnect SiO2 No Space Limitation for Interconnection High Density Chip Wiring No Limitation of I/O Number No Limitation of I/O Pitch Small Area Package Si-Substrate 3D TSV Stacked IC 7

8 Frequency-dependent Loss of Through Silicon Via Frequency dependent term 0-1 C insulator G Si sub Leakage current Cu SiO 2 Si Insertion loss (db) Capacitive region Resistive region Loss term Frequency (GHz) 8

9 Analysis of a TSV Channel with Insulator Thickness of TSV Insulator thickness of TSV (t) Signal Top Ground Top C Insulator =1.6 pf C Insulator =2.6 pf C Insulator /2 C Insulator /2 S21 magnitude (db) C Insulator =7.8 pf Insulator thickness of TSV Signal Bottom Leakage through silicon substrate dominantly increases due to lowered impedance with increased C insulator in region [A]. Insulator thickness dominantly affects frequency dependent loss of a TSV channel in region [A]. C Insulator /2 C Insulator /2 Leakage current Ground Bottom -3 [A] Frequency (GHz) Equivalent circuit model ( t = 0.5um ) Equivalent circuit model ( t = 0.3um ) Equivalent circuit model ( t = 0.1um ) 20 9

10 TSV Depletion Phenomenon Depending on DC Bias Voltage V bias (V FB < V bias < V Th ) SiO 2 Copper Depletion region L TSV M O S contact R TSV C ox C ox C depl L TSV R TSV Silicon TSV model without considering depletion C TSV = C C ox ox C + C depl depl TSV has MOS structure depletion occurs depending on TSV bias voltage TSV capacitance decreases if depletion region is generated 10

11 Hysteresis of Depletion Capacitance Coupling Capacitance [ff] Hysteresis Start TSV dc bias voltage [V] Coupling capacitance increases as TSV dc bias decreases Coupling capacitance shows hysteresis, which means that capacitance varies depending on the previous TSV dc bias voltage 11

12 Frequency Domain Measurement Result -10 Noise Coupling Coefficient (db) M Noise coupling increases (1.5dB increase at 10MHz) as temperature increases Noise coupling decreases (3dB decrease at 1GHz) as temperature increases measurement at T=25ºC measurement at T=50ºC measurement at T=75ºC measurement at T=100ºC 100M 1G 10G Frequency (Hz) Measurement shows trend reversion between high frequency and low frequency. At very high frequency, noise coupling becomes similar although temperature varies 12

13 Eye Diagram of 4Gbps at 25 C and 100 C 500 Eye-height : 344 mv At 4Gb/s 25ºC =68.8% V At 4Gb/s 100ºC in (500mV) 500 Eye-height : 354 mv =70.8% V in (500mV) Voltage (mv) Voltage (mv) 0 Pk-pk jitter : 11 ps =4.4% UI 0 Pk-pk jitter : 11 ps =4.4% UI Time (ps) Time (ps) Eye height increases about 2% At 4Gbps, trend is reversed compared with low frequency region Jitter is almost same 13

14 Various Structures of TSV on Interposer ILD Organic Silicon Insulation layer Silicon < Normal TSV > < Through Silicon Line Via (TSLV) > Organic Organic Silicon Silicon < Through Organic Line Via (TOLV) > < Coaxial Organic Line Via (COLV) > 14

15 Measured Eye-diagrams at 30 Gbps (Coaxial TSV) Data rate = 30 Gbps ps ps Voltage (mv) mv Voltage (mv) mv Time (ps) < Eye-diagram of TSV channel > Time (ps) < Eye-diagram of Coaxial TSV channel > Eye-diagram of coaxial TSV channel is better than that of normal TSV channel 15

16 Glass Interposer and TGV < Double-sided Glass Interposer > < Double-sided Silicon Interposer > Glass substrate Silicon substrate Physical parameters TGV/TSV diameter: 10µm TGV/TSV pitch: 40µm, 100µm TSV oxide thickness: 0.5µm 16

17 Equivalent Circuit Model of a Through Glass Via (TGV) Signal Top Ground Top Physical parameters C top,imd G top,imd d TSV = 10 µm p TSV = 100 µm h TSV = 100 µm L TSV C Glass L TSV Extracted RLGC parameters R TSV Signal Bottom G Glass C bot,imd G bot,imd C polymer Ground Bottom R TSV C Glass = 9 ff G Glass = 100 kohm C polymer = 0.1 ff G polymer = 10 kohm C top,imd = 7.5 ff G top.imd = 10 MOhm R TSV = 3 mohm L TSV = 49 ph G polymer 17

18 Insertion Loss : TGV vs. TSV 0 TGV GHz Insertion Loss (db) TSV Through Silicon Via (TSV) Through Glass Via (TGV) Frequency (GHz) Larger loss due to the lossy silicon substrate and thin SiO 2 liner of TSV GHz 18

19 Differential Signal TSV Baseline structure of a differential signal TSV (GSSG type) with Bumps Bump diameter Pitch Bump height Bump Bump Underfill Bump Bump IMD IMD height Ground TSV TSV Height Signal TSV Silicon Substrate Signal TSV TSV diameter Ground TSV Insulator thickness Bump Bump Underfill Bump Bottom oxide thickness Bump 19

20 Measured Coupled Voltage of a TSV Channel depending on Signaling <GSG-type> Clock (1V pp ) 20 < Coupled Noise voltage > Single-ended (GSG-type) vs. Differential (GSSG-type) 15 34mV <GSSG-type> Voltage [mv] mV Clock (0.5V pp ) Clock GSG-type single-ended signaling GSSG-type differential signaling Time [ns] 2 Injected signal : 1GHz clock signal Using pulse-pattern generator (PPG) Digital cscilloscope : TDS8000B Even with the larger insertion loss, GSSG-type differential signal TSV has better noise immunity than GSG-type single-ended signal TSV 20

21 Various Structures of On-interposer Metal Lines Signal Ground ILD G S G ILD S G ILD G S G Interposer Insulation layer Interposer Insulation layer Interposer Insulation layer < Baseline structures Coplanar waveguide (Left), Microstrip (Center), and Strip (Right) lines Signal Ground On-interposer lines for wide I/O On-interposer lines for X-talk reduction < Examples of on-interposer metal lines > 21

22 Fabricated TSV Channel for Modeling and Analysis TSV Interposer Line 150um 20um Probing pads 20um < Top view > Length variation < Top view SEM picture > Oxide layer 0.3um 2000 / 3000 / 4000 um Silicon substrate Oxide layer Under-fill layer Dielectric layer Oxide layer Silicon substrate 50um 8um 10um 3um 0.3um 1um 44um 0.3um 300um Metal line TSV UBM < Side view > < Cross-sectional view SEM picture > 22

23 Verification of Proposed Method by Time-domain Measurement Test Vehicle A (Length = 10Gbps - Input voltage = 1V ps (6.1% UI ) ps (14.9% UI ) Voltage (V) V Voltage (V) V Time (ps) <Eye-diagram by using proposed method > <Eye-diagram by time-domain measurement > Time (ps) Error rate of the estimated eye-diagram using the proposed method - Eye-opening voltage : 5.2% V p-p - Timing jitter : 8.8% UI 23

24 Verification of Proposed Method by Time-domain Measurement Test Vehicle B (Length = 1Gbps - Input voltage = 1V ps (9.0% UI ) ps (14.9% UI ) Voltage (V) V Voltage (V) V Time (ns) Time (ns) <Eye-diagram by using proposed method > <Eye-diagram by time-domain measurement > Error rate of the estimated eye-diagram using the proposed method - Eye-opening voltage : 11.2% V p-p - Timing jitter : 5.9% UI 24

25 Passive Equalizer at Interposer 10um 250um 2um 250um 750um 1250um M1 M2 10um 10um 4500um < Top view > Thicknesses of M1 / M2 = 0.7um / 0.7um Total length of stub = 500um, 1000um, 1500um Test pattern will be fabricated by MPW 104 th M/H 0.35um - Die out :

26 Measured Eye-diagrams at 30 Gbps (Passive Equalizer) Data rate = 30 Gbps Eye-diagram is closed 11.8 ps Voltage (mv) 0 Voltage (mv) 0 47 mv Time (ps) < Eye-diagram without passive EQ. > Time (ps) < Eye-diagram with passive EQ. > By using the passive equalizer, the eye-diagram at the data rate of 30 Gbps is improved 26

27 S 21 : Interposer Channel Loss: CPW S 21 (db) Frequency (GHz) 20 Glass interposer (CPW, M1) Glass interposer (CPW, M2) Silicon interposer (CPW, M1) Silicon interposer (CPW, M2) <Simulated interconnect> - Type : Co-planar waveguide (CPW) - Length : 1 mm - width / space : 10 um / 10 um - Distance from M1 to Glass : 1 um 27

28 Insertion Loss Measurement of Glass Interposer 0.5dB loss at 20GHz, 6mm line Length S 21 (db) Z 0 : 59.6Ω (measurement) Z 0 : 57.5Ω (measurement) Type : CPW Length : 6000um G S G -2 < Signal/ground width/space > 25um/25um/25um um / 600um/20um 170um / 600um/25um Frequency (GHz) < side view > 28

29 Eye-diagram of the Glass Channel (Via + Short line + Via) Glass via < Top view of glass channel > Glass < side view > - Glass via diameter: 60um - Glass line: GSG coplanar waveguide ( Width: 25um / space: 175um / length : 200um ) 1Gbps 5Gbps 10Gbp s Eye-diagram of glass channel (glass via + 200um line + glass via) is measured Because glass channel has a little loss, eye-diagram shape is almost determined by the cable ( 90cm high-frequency cable has -1.3dB insertion loss at 10GHz ) 29

30 Simultaneous Switching Noise (SSN) on Interposer and Problems caused by SSN Through-Silicon Via (TSV) Wide I/O (WIO) LPDDR LPDDR Application Processor (AP) 1Gb/s x512bit Silicon Interposer WIO WIO WIO WIO Package 1. Driver performance degradation by SSN Switching current I/O Drivers (512ea.) 2. SSN coupling Sig(4:6) PWR < lines on interposer > PWR GND Sig(1:3) GND 3. SSN transfer through interposer line < AP > < Wide I/O > 30

31 SEM Photos for TSV Connection Test and Physical Dimension Confirmation (2/2) (1) (2) (3) (4-A) (4-B) We confirmed all TSV connections and physical dimensions of the fabricated sample by SEM photos. 31

32 Modeling Method for Grid-type PDN based on a Segmentation Method Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell Unit cell : Segmentation Method Once we have unit-cell models, we model the whole grid-type PDN by connecting all unit-cells that form the grid-type PDN based on a segmentation method. 32

33 Verification of TSV-based Stacked Grid-type PDN Model 10 3 PDN Impedance (Ω) C PDN : Proposed model : Measurement : 3D EM simulation (CST MWS) L PDN loop Frequency (GHz) PDN self impedance estimated from the proposed model is well-matched with the simulation result and measurement in the frequency range of 0.1 GHz to 20 GHz. 33

34 SEM Image of TSV-based DCSC IMD (Metal: 10 layer) TSV Capacito r 34

35 Comparison b/w measurement and simulation for PDN impedance (Z11) of the proposed TSV-based DCSC - VNA measurement using agilent E5071B - Freq. Range of VNA: 1M ~ 8GHz 100 PDN Impedance Z11 [ohm] 10 1 L_Decap ESL + TSV + PDN loop L Mode Resonanc e of Chip PDN : Simulation of TSV based DCSC (0.47uF X 4ea) : Measurement of TSV 0.1 based DCSC (0.47uF X 4ea) 1M 10M 100M 1G 10G Frequency [Hz] 35

36 Noise Coupling Paths in Stacked Dies using TSV: Non-ideal RCP P-Substrate 3 rd Chip Inductor TSV TSV TSV TSV N+ P+ P+ N+ N+ P+ N-Well 2 N+ P+ N-Well P-Substrate N+ N+ 3 P+ N+ Metal N-Well to Metal Coupling 2 nd Chip TSV to Active Circuit Coupling Inductor 1 TSV TSV TSV to TSV Coupling N+ P+ P+ N+ N+ P+ N-Well N+ P+ N-Well N+ N+ P+ N+ N-Well P-Substrate 1 st Chip 36

37 Analysis of Noise Coupling based on the 3D TLM Model conta ct C sub silicon substrate R sub C TSV TSV ILD/IM D Distance between contact and TSV : 100 μm Substrate height : 100 μm TSV diameter : 30 μm TSV SiO 2 thickness : 0.5 μm Coupling coefficient [db] A B C TSV SiO2 capacitance dominant Silicon resistance dominant Silicon capacitance dominant M 100M 1G 10G Frequency [GHz] Coupling can be divided into 3-regions In region A, B, and C TSV SiO 2 capacitance, silicon resistance, silicon capacitance is the dominant factor to the coupling 37

38 The Shielding Effects of Active Circuit near TSVs Depletion region -30 t junction d keep Silicon substrate DNW contact G DNW Coupling G Noise coupling coefficient [db] No DNW DNW (small contact) DNW (large contact) M 100M 1G 10G Frequency [Hz] S d DNW S DNW Silicon substrate Active circuit is simply modeled as DNW with keep out area from TSV (d keep ) Shielding effects of DNW is validated by 3D EMsimulation and results are shown 38

39 Two cases assumption Case 1 (NMOS No DNW) p+ n+ n+ p+ p- silicon substrate (σ 10 S/m) Case 2 (with σ 500 S/m DNW) p+ p+ p+ n+ Deep N well n- (σ 500 S/m) p- silicon substrate (σ 10 S/m) 39

40 The effect of distance between TSV and ground tie1-30 Noise coupling [db] M 1G 10G Frequency [Hz] Case 2 : Combination of 10S/m Substrate and 500S/m higher conductivity DNW Case 1 : Only 10S/m substrate 40

41 Shielding TSV (bar, ring, fence) Signal TSVs Shielding GND TSVs <Bar type> <Ring type> <Fence type> Shielding TSVs can be formed in various way It roles as an blocking structure between Signal and Signal, PWR and PWR TSVs, and even analog and digital block 41

42 Conclusions (1) -2.5D architecture will be the most practical semiconductor integration solution for future low power and high-performance mobile platform - TSV and interconnections will be the critical interconnection structures in 2.5D IC. - Significant I/O power reduction and bandwidth increase can be achieved using the 2.5D architecture. - Special TSV structures, transmission line structures, and equalizers are needed to meet low power and high-speed data transmission requirements. 42

43 Conclusions (2) -I/O power noise suppression and hierarchical decoupling schemes are needed to suppress excessive I/O SSN noise - Noise coupling is becoming a crucial concern in 2.5D system, and appropriate shielding methods should be applied 43

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging

High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging Chunghyun Ryu, Jiwang Lee, Hyein Lee, *Kwangyong Lee, *Taesung Oh, and Joungho Kim Terahertz Interconnection and Package

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Power Distribution Status and Challenges

Power Distribution Status and Challenges Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer

More information

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Glass: Enabling Next-Generation, Higher Performance Solutions Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Forward Looking And Cautionary Statements Certain statements in this presentation

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Limiter Diodes Features Description Chip Dimensions Model DOT Diameter (Typ.) Chip Number St l Style Inches 4 11

Limiter Diodes Features Description Chip Dimensions Model DOT Diameter (Typ.) Chip Number St l Style Inches 4 11 Features Low Loss kw Coarse Limiters 200 Watt Midrange Limiters 10 mw Clean Up Limiters 210 20 Description Alpha has pioneered the microwave limiter diode. Because all phases of manufacturing, from design

More information

Introduction to On-Wafer Characterization at Microwave Frequencies

Introduction to On-Wafer Characterization at Microwave Frequencies Introduction to On-Wafer Characterization at Microwave Frequencies Chinh Doan Graduate Student University of California, Berkeley Introduction to On-Wafer Characterization at Microwave Frequencies Dr.

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers Jialing Tong *, Yoichiro Sato +, Shintaro Takahashi +, Nobuhiko Imajyo +, Andrew

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications

CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications CAD oriented study of Polyimide interface layer on Silicon substrate for RF applications Kamaljeet Singh & K Nagachenchaiah Semiconductor Laboratory (SCL), SAS Nagar, Near Chandigarh, India-160071 kamaljs@sclchd.co.in,

More information

3D Si Interposer Design and Electrical Performance Study

3D Si Interposer Design and Electrical Performance Study DesignCon 2013 3D Si Interposer Design and Electrical Performance Study Mandy (Ying) Ji, Rambus Inc. Ming Li, Rambus Inc. Julia Cline, Rambus Inc. Dave Secker, Rambus Inc. Kevin Cai, Rambus Inc. John Lau,

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Student Research & Creative Works

Student Research & Creative Works Scholars' Mine Masters Theses Student Research & Creative Works Summer 2016 De-embedding method for electrical response extraction of through-silicon via (TSV) in silicon interposer technology and signal

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Physical RF Circuit Techniques and Their Implications on Future Power Module and Power Electronic Design

Physical RF Circuit Techniques and Their Implications on Future Power Module and Power Electronic Design Physical RF Circuit Techniques and Their Implications on Future Power Module and Power Electronic Design Adam Morgan 5-5-2015 NE IMAPS Symposium 2015 Overall Motivation Wide Bandgap (WBG) semiconductor

More information

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN? EOTPR Customer Case Studies EUFANET Workshop: Findings OPEN? OUTLINE o EOTPR introduction basic scheme o EOTPR OPEN customer case studies o Open on BGA trace (evaluation) o Open on embedded BGA trace o

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL

EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL 1 EVALUATION OF THE NEAR-FIELD INJECTION METHOD AT INTEGRATED CIRCUIT LEVEL A. Boyer 1,2, B. Vrignon 3, J. Shepherd 3, M. Cavarroc 1,2 1 CNRS, LAAS, 7 avenue du colonel Roche, F-31400 Toulouse, France

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D. Mobile:

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D.   Mobile: Wafer-Level Calibration & Verification up to 750 GHz Choon Beng Sia, Ph.D. Email: Choonbeng.sia@cmicro.com Mobile: +65 8186 7090 2016 Outline LRRM vs SOLT Calibration Verification Over-temperature RF calibration

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

3D/SiP Advanced Packaging Symposium Session II: Wafer Level Integration & Processing April 29, 2008 Durham, NC

3D/SiP Advanced Packaging Symposium Session II: Wafer Level Integration & Processing April 29, 2008 Durham, NC 3D/SiP Advanced Packaging Symposium Session II: Wafer Level Integration & Processing April 29, 2008 Durham, NC Off-Chip Coaxial to Coplanar Transition Using a MEMS Trench Monther Abusultan & Brock J. LaMeres

More information

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design 57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design Tim LaRocca, and Frank Chang PA Symposium 1/20/09 Overview Introduction Design Overview Differential

More information

Micro- & Nano-technologies pour applications hyperfréquence à Thales Research &Technology Afshin Ziaei, Sébastien Demoustier, Eric Minoux

Micro- & Nano-technologies pour applications hyperfréquence à Thales Research &Technology Afshin Ziaei, Sébastien Demoustier, Eric Minoux Micro- & Nano-technologies pour applications hyperfréquence à Thales Research &Technology Afshin Ziaei, Sébastien Demoustier, Eric Minoux Outline Application hyperfréquence à THALES: Antenne à réseau réflecteur

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 2013 IEEE Compound Semiconductor IC Symposium, October 13-15, Monterey, C 30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 1 H.C. Park, 1 S.

More information

Passive Device Characterization for 60-GHz CMOS Power Amplifiers

Passive Device Characterization for 60-GHz CMOS Power Amplifiers Passive Device Characterization for 60-GHz CMOS Power Amplifiers Kenichi Okada, Kota Matsushita, Naoki Takayama, Shogo Ito, Ning Li, and Akira Tokyo Institute of Technology, Japan 2009/4/20 Motivation

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

PRODUCT DATASHEET CGY2144UH/C2. DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION FEATURES APPLICATIONS. 43 Gb/s OC-768 Receiver

PRODUCT DATASHEET CGY2144UH/C2. DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION FEATURES APPLICATIONS. 43 Gb/s OC-768 Receiver PRODUCT DATASHEET DC-54GHz, Medium Gain Broadband Amplifier DESCRIPTION The is a broadband distributed amplifier designed especially for OC-768 (43 Gb/s) based fiber optic networks. The amplifier can be

More information

VITESSE SEMICONDUCTOR CORPORATION. Bandwidth (MHz) VSC

VITESSE SEMICONDUCTOR CORPORATION. Bandwidth (MHz) VSC Features optimized for high speed optical communications applications Integrated AGC Fibre Channel and Gigabit Ethernet Low Input Noise Current Differential Output Single 5V Supply with On-chip biasing

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

PAGE 2 OF 20 HUTCHINSON EXHIBIT 1010 Question #1 Can current interconnect technology support the push towards 3Gb/s internal data rates? Answer #1 YES

PAGE 2 OF 20 HUTCHINSON EXHIBIT 1010 Question #1 Can current interconnect technology support the push towards 3Gb/s internal data rates? Answer #1 YES PAGE 1 OF 20 HUTCHINSON EXHIBIT 1010 Exploring Low Loss Suspension Interconnects for High Data Rates in Hard Disk Drives Sept. 20, 2007 Hutchinson Technology Inc. Michael Roen, Reed Hentges, John Pro,

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information

Cellular Antenna Switches for Multimode Applications Based on a Silicon-On-Insulator (S-O-I) Technology

Cellular Antenna Switches for Multimode Applications Based on a Silicon-On-Insulator (S-O-I) Technology Cellular Antenna Switches for Multimode Applications Based on a Silicon-On-Insulator (S-O-I) Technology Ali Tombak, Christian Iversen, Jean-Blaise Pierres, Dan Kerr, Mike Carroll, Phil Mason, Eddie Spears

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca Motivation Data Rx3 Rx2 D-FF D-FF Rx1 D-FF Clock Clock

More information

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST) MMIC/RFIC Packaging Challenges Webcast ( 9AM PST 12PM EST) Board Package Chip HEESOO LEE Agilent EEsof 3DEM Technical Lead 1 Agenda 1. MMIC/RFIC packaging challenges 2. Design techniques and solutions

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

PDN Probes. P2100A/P2101A Data Sheet. 1-Port and 2-Port 50 ohm Passive Probes

PDN Probes. P2100A/P2101A Data Sheet. 1-Port and 2-Port 50 ohm Passive Probes P2100A/P2101A Data Sheet PDN Probes 1-Port and 2-Port 50 ohm Passive Probes power integrity PDN impedance testing ripple PCB resonances transient step load stability and NISM noise TDT/TDR clock jitter

More information

Compact Solar Cell Ultra-Wideband Dipole Antenna

Compact Solar Cell Ultra-Wideband Dipole Antenna Compact Solar Cell Ultra-Wideband Dipole Antenna Mina Danesh*, John R. Long High-Frequency Electronics Research Lab July 16, 2010 Delft University of Technology Challenge the future Outline Motivation

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information