Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Size: px
Start display at page:

Download "Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications"

Transcription

1 Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D Systems Packaging Research Center, Georgia Institute of Technology, 813 Ferst Dr N.W., Atlanta, GA Ciena Corporation, Hanover, MD Abstract This paper describes the modeling, design, and demonstration of high-speed differential transmission lines on a 130μm thin glass interposer with two re-distribution layers (RDL), line lengths of 1-50mm, and turn radii of mm for 16-channel signal transmission at 28 Gbps per channel. Next generation photonic systems such as 400 Gigabit Ethernet (400 GbE) require low power and low loss channels between photodetectors and trans-impedance amplifiers (TIA) or between laser arrays and driver ICs. Glass, with low dielectric constant and loss tangent, has higher electrical performance and channel power efficiency compared to silicon interposers. Furthermore, low surface roughness and high-dimensional stability of glass enable finer lithographic dimensions and higher interconnection density during large panel processing compared to organic interposers. Interconnection of optical and electrical ICs on 2.5D glass interposers provides the best combination of electrical and optical signal performance. For 400 GbE modules, a 16-channel bus at 28 Gbps per channel is required for communication to the backplane. Electrical modeling and simulation was performed to arrive at an appropriate design for the 16x28 Gbps I/O interface on a two-metal layer glass interposer. An ultra-thin 130μm glass interposer was fabricated using low-cost, double-side panel processing providing for a lower cost, higher performance solution compared to silicon interposers. Introduction Next generation systems such as 400 GbE and cloud servers require low loss electrical channels between electronic and photonic ICs. Optical and electrical chips assembled at close proximity with 2.5D interposers can be thought of as one way to achieve ultra-high bandwidth channels with lower power consumption compared to conventional packages, assembled on boards [1]. Glass interposers offer compelling advantages over silicon and organic interposers to achieve high-speed and wide I/O channels. Signal speeds up to 11.5 Gb/s at 2cm interconnect length have been demonstrated with a four-metal layer 2.5D silicon interposers, fabricated using back end of line (BEOL) processes [2]. The high electrical loss of silicon, however, combined with the high resistivity of ultra-thin metallization layers using 65nm node BEOL, requires high driver power consumption. Silicon interposers have also been used to implement a 28 Gbps SerDes transceiver in a fieldprogrammable gate (FPGA) package [3], where high-speed channels required through-silicon-vias (TSV). Using TSV for performance-critical nets increases capacitive loading and crosstalk due to the poor electrical properties of silicon. Through-package-vias (TPVs) in glass, however, result in significantly lower channel losses compared to TSVs [4]. Organic interposers are being developed for 2.5D integration of logic and memory ICs at 40μm bump pitch with 2μm line widths [5]. There are several challenges, however, associated with organic interposers for 2.5D photonic applications including: high precision photonic IC assembly, fiber alignment, and high-speed channel performance due to low dimensional stability, warpage, and surface roughness [3]. This paper describes a 2.5D glass interposer with electronic and photonic ICs as shown in Fig. 1. The low dielectric constant and low loss tangent of glass results in high performance die-to-die interconnections, while the high modulus and high thermal and dimensional stability leads to high precision optical fiber and photonic die assembly. Exploiting these electrical and optical advantages of 2.5D glass interposers, however, requires the design of high-speed differential traces supporting 16x28 Gbps I/O from the interposer to the backplane. 400 μm 150 μm TIA/Driver PD/VCSEL Array Glass Interposer Organic Substrate TIA/Driver 28G Differential Lines Low Loss Interconnects PD/VCSEL Array Substrate Interposer Fig D glass interposer architecture with electronic and photonic ICs. This paper, for the first time, demonstrates high-speed differential transmission lines using an ultra-thin 130μm glass substrate with TPV to achieve 16-channel, 28 Gbps per channel signaling. Since the I/O density for 2.5D photonic interposers is relatively low compared to wide I/O logicmemory applications, a glass interposer with only two RDLs, fabricated using double-side processes, was used to reduce the /15/$ IEEE Electronic Components & Technology Conference

2 Design spec. not included in design rule Differential Impedance Zdiff [Ω] interposer cost critical for the success of glass photonic modules. The following section describes the 3D electromagnetic (EM) modeling and simulations used to develop an optimized design for differential traces on 130μm thin glass. The next section describes the materials used to fabricate the test vehicle, using a double-side, panel-scalable semi-additive metallization scheme. The last section presents the analysis and correlation between measurements of the fabricated test samples and design parameters. Modeling of Differential Traces on Glass Interposers The main purpose for modeling and simulating differential traces was to establish a design space from which design rules can be established in order to fabricate a glass test vehicle at high yield using panel-level processes. The method followed to create this design space is summarized in Fig. 2 below. The first step was determining the line width (W) of an isolated microstrip line (MSL) such that Z 0 = Ω on a 130μm thick glass substrate (ε r = 5.0, tanδ = at f = 10.26GHz). The width of the MSL transmission lines was set to greater than 10μm to ensure the yield of the test structures. Determine MSL line width W for Z0 = Ω MSL yieldable using standard SAP? Y Determine line spacing S for Zdiff = 100 Ω Set design rule for 28G lines on 2.5D glass interposer 3DEM modeling of differential line performance at line length L = 1 50 mm 3DEM modeling of differential line turning structure at turn radius R = 0.15 mm 8.0 mm choosing design variations with line pitch closest to chiplevel pitch, the fan-out distance was minimized, and, as a result, Z diff can be maintained at 100Ω Z0 Z0 = 50Ω (W = 205 μm) Z0 = 60Ω (W = 155 μm) Z0 = 70Ω (W = 100 μm) Z0 = 80Ω (W = 70 μm) Z0 = 90Ω (W = 55 μm) Z0 = 100Ω (W = 40 μm) Line Spacing S [μm] Fig. 3. 2D EM extraction results of differential line impedance. Following the 2D EM analysis previously described, two differential designs were down selected: (1) W = 155μm, S = 120μm (Z 0 = 60Ω) and (2) W = 100μm, S = 54μm (Z 0 = 70Ω). The performance of these two differential trace designs was analyzed with respect to return loss S d11 and insertion loss S d21 using 3D EM analysis. Parametric models developed for this analysis are shown in the cut out of Fig. 4a (Z 0 = 60Ω) and Fig. 4b (Z 0 = 70Ω). Port de-embedding was used to extract S d11 and S d21 at line lengths L = 1, 5, 10, 15, 30, and 50mm. Results of this analysis are summarized for S d11 in Fig. 4. The return loss for both design variations was less than -25 db for all line lengths indicating good matching required to minimize chip I/O power loss. Z0 Differential line pitch similar to chip bump pitch? Y Glass test vehicle design Fig. 2. Modeling approach to develop design rules for high yield and high performance differential traces on low-cost glass interposers. After determining the line widths to achieve characteristic MSL impedances (Z 0 = 50, 60, 70, 80, 90, and 100Ω), a 2D EM analysis was used to determine appropriate line spacing S between two microstrip transmission lines to achieve a differential impedance (Z diff ) of 100Ω. The results of this analysis are shown in Fig. 3. For each MSL variation, the line spacing was swept from 200μm down to 20μm and Z diff was extracted. After the line width and space were determined to achieve a Z diff of 100 Ω, the designs were further refined such that those design variations at line pitch closest to 150μm (Z 0 = 70Ω) and 300μm (Z 0 = 60Ω) were chosen. This choice in pitch was made to match the on-chip bump pitch of commercial-off-the-shelf (COTS) TIAs and drivers. By 2189

3 Sd21 Normalized [db/mm] Signal Length L [mm] Z0 = 60 Ω Sd11 [db] Z0 = 70 Ω Sd11 [db] Fig. 4. Differential return loss Sd11at line lengths L = 1mm up to 50mm for variations Z 0 = 60Ω, Z 0 = 70Ω from f = 0.1GHz 100GHz, and Sd11 at f = 14GHz for all line lengths analyzed. Similar parametric analysis was performed to assess S d21 for both designs, and, in general, differential insertion losses were higher for Z 0 = 70Ω as shown in Fig. 5 for L = 10mm. At f = 14GHz, the normalized insertion loss was db/mm and db/mm, for Z 0 = 60Ω and Z 0 = 70Ω, respectively. This performance difference is due to the increased conductor losses at smaller line widths, which is exaggerated at increased frequencies due to skin effect db (f = 14 GHz) db (f = 14 GHz) Fig. 5. Differential insertion loss Sd21 at L = 10mm for variations Z 0 = 60Ω and Z 0 = 70Ω Zdiff = 100Ω for both design variations. The effect of turning radius on line loss was studied in addition to S d11 and S d21 performance at various line lengths. Establishing a minimum turning radius in the design is critical to chip fan-out and integration density. The following analysis assumes that line turning is achieved with rounded features, and therefore, a sharp 90 turn is not considered. A general turning test structure is shown in the cutout of Fig. 6. Differential design variations were compared at turning radii R = 0.3, 0.4, 0.8, 1, 2, 4, and 8mm where the straight line distance between turning structures is maintained to be λ/4 = 5.35mm (f = 14GHz). An s-turn type test structure is used to maintain symmetry of the differential trace. The results of this analysis are summarized in Fig. 6. As previously noted, S d21 increased with decreasing line width. Additionally, line losses increased with decreasing turn radius from 8mm to 2mm for both the differential design variations considered. In general, however, the effect of turn radius on line loss was minimal and S d21 did not vary significantly from those results obtained for the straight-line test structures shown in Fig λ/8 λ/4 λ/8 Turn Radius [mm] Z0 = 70-OHM Z0 = 60-OHM Fig. 6. Normalized insertion loss Sd21 (db/mm) at turning radii R = 0.3mm up to 8mm and f = 14GHz for variations Z 0 = 60Ω and Z 0 = 70Ω Zdiff = 100 Ω for both design variations. Design of Glass Test Vehicle Design rules for the two variations of differential transmission line structures on a 76.2x76.2x0.13mm 3 glass test vehicle were developed based on modeling and simulation results described above and are summarized in Fig. 7 below. The test vehicle was fabricated on 130μm thin glass provided by Corning Inc. with through vias formed in the glass at 60μm diameter and 120μm pitch. Throughpackage-via diameter is not a critical design parameter for the structures included in the test vehicle design shown in Fig. 8. Each test structure used an array of vias to provide a signal return path and signal via transitions were not considered for characterization. Parameter Glass thickness (hglass) Via diameter (D) Via pitch (P) Copper thickness (hcu) Titanium seed thickness (hti) Line width 1 (W1) Line space 1 (S1) Line width 2 (W2) Line space 2 (S2) Design Specification 130μm 60μm 120μm 8.0μm (nominal) 0.1μm (nominal) 155μm 120μm 100μm 54μm Fig. 7. Glass panel design rules, where W1 and S1 describe line and space requirement for Z 0 = 60Ω and W2 and S2 describe line and space requirement for Z 0 = 70Ω. 2190

4 B titanium seed layer and nm thick copper seed layer. After seed layer deposition, 15μm thick dry film photoresist (DFR) was roll laminated at T = 115 C on both sides of the glass. An exposure time of t = 5.0s was used for DFR patterning. Electrolytic plating with I = 5.7A for t = 18min was used to deposit approximately 8μm of copper to form the signal and ground layers, after which the DFR was removed and seed layers were etched. To prevent degradation of copper structures, a hydrofluoric acid (HF) flash etch (t = 10-20s) was used to remove the titanium seed/adhesion layer. Due to the short processing time, this flash etch step did not affect the quality of the copper traces on glass in terms of surface roughness. C Glass Panel Fabrication Process Flow Via drill Ti/Cu seed layer sputter Dry film resist roll lamination E-lytic plating Fig x76.2 mm 2 glass panel layout of differential transmission line test structures at various line length (L = 1-50mm) and turn radius (R = mm). - Detail of differential transmission line test structures with RF probe pads at 250μm pitch. Structures included in the test vehicle were: straightline differential traces at L = 1, 5, 10, 15, 30, and 50mm, turning differential traces at R = 0.3, 0.4, 0.8, 1, 2, 4, and 8mm, and fan-out structure for a COTS TIA with 150μm chip-level bump pitch. These test structures included both the Z 0 = 60Ω and Z 0 = 70Ω variations. Note that the fan-out structure was not designed for high-frequency characterization using RF probes, and was used to verify the fabrication process capability for a system architecture similar to the schematic shown in Fig. 1. Glass Panel Fabrication and Results The glass test vehicle fabrication process flow is summarized in Fig. 9 and is based on a low-cost, panel-scale, double-side process to form two-metal layers on bare glass. A titanium-copper seed layer was sputtered on glass samples with pre-drilled TPVs. The titanium was used as an adhesion layer between the bare glass and copper metallization, while the copper seed layer thickness was optimized for uniform conductivity during subsequent electrolytic plating. The total sputtering time for titanium and copper was t = 20min and t = 40min respectively, resulting in a 100nm thick Dry film resist strip Cu seed layer etch Ti seed layer HF etch Fig. 9. Test vehicle fabrication process using SAP metallization. The fabrication results using the above processes are summarized in Fig. 10. Fan-out structures for Z 0 = 60Ω and Z 0 = 70Ω are shown in Fig. 10a and Fig 10b, respectively. The fabricated line widths and spaces for the Z 0 = 60Ω design variation were 161μm and 116μm respectively, a difference of < 4.0% compared to the designed dimensions. The fabricated line widths and spaces for the Z 0 = 70Ω variation were 102μm and 55μm respectively, a difference of 2.0% compared to the designed dimensions. This variation may be attributed to several possible sources of error including: mask fabrication tolerance, mylar mask expansion during UV exposure, or incorrect exposure dosage. Therefore, it is expected that such variations in fabrication can be addressed by using a glass mask and further process optimization. The cross-section micrographs for these structures are shown in Fig. 10c and Fig. 10d. In the cutout of Fig. 10d, the copper thickness was measured to be near the design target of 8μm. There was some variation of copper thickness across the 76.2x76.2 mm 2 panel, with a minimum measured copper thickness of approximately 5.6μm. 2191

5 54.7 um um References 1. Knickerbocker, J.U. et al., "2.5D and 3D technology challenges and test vehicle demonstrations," Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd 5x um um 5x 2. Xiaoxiong Gu et al., "High-density silicon carrier transmission line design for chip-to-chip interconnects," Electrical Performance of Electronic Packaging and Systems (EPEPS), 2011 IEEE 20th Conference on 250 um 10x 100 um 10x (cutout 50x) (d) Fig Fan-in test structures for Z 0 = 60Ω and Z 0 = 70Ω respectively; Cross section of Z 0 = 60Ω differential transmission line structure; and (d) Cross section of Z 0 = 70Ω differential transmission line structure (cutout showing copper metal thickness). Conclusions This paper presents for the first time the modeling, design, and demonstration of high-speed differential transmission lines using an ultra-thin 130μm glass substrate with TPV to achieve 16-channel, 28 Gbps per channel signaling. The low loss and ultra-smooth surface of glass, combined with the low resistive, thick copper metallization, is expected to provide for a higher-performance solution compared to silicon interposers fabricated using BEOL processes. Furthermore, the high modulus and dimensional stability of glass can be leveraged to improve optical fiber alignment to photonic dies, required for 2.5D photonicelectronic interposers. This paper presented the electrical modeling and simulation used to develop an optimized design for a 16x28 Gbps I/O interface with a two-metal layer glass interposer. Using this design, an ultra-thin 130μm glass test vehicle was fabricated using low-cost, double-side panel processes. The measured structures in the glass interposer showed excellent correlation with the modeling and design. Glass interposers combining electrical and optical signal transmission between chips assembled at close proximity, offer a great potential for high-speed electronic and photonic system integration. Acknowledgments Research results described above are part of the Low-cost 3D Glass Interposers and Packages (LGIP) program at Georgia Tech Packaging Research Center (GT-PRC). The authors acknowledge those LGIP member companies and supply chain partners in supporting this research effort. 3. Namhoon Kim et al., "Channel design methodology for 28Gb/s SerDes FPGA applications with stacked silicon interconnect technology," Electronic Components and Technology Conference (ECTC), 2012 IEEE 62nd 4. Sawyer, B. et al., "Modeling, design, fabrication and characterization of first large 2.5D glass interposer as a superior alternative to silicon and organic interposers at 50 micron bump pitch," Electronic Components and Technology Conference (ECTC), 2014 IEEE 64th 5. Oi, K. et al., "Development of new 2.5D package with novel integrated organic interposer substrate with ultrafine wiring and high density bumps," Electronic Components and Technology Conference (ECTC), 2014 IEEE 64th 2192

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Jialing Tong, Venky Sundaram, Aric Shorey +, and Rao Tummala 3D Systems Packaging Research Center Georgia Institute of Technology,

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers Jialing Tong *, Yoichiro Sato +, Shintaro Takahashi +, Nobuhiko Imajyo +, Andrew

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES

ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES A Dissertation Presented to The Academic Faculty by SUKHADHA

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Glass: Enabling Next-Generation, Higher Performance Solutions Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Forward Looking And Cautionary Statements Certain statements in this presentation

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Nithya Sankaran,Venkatesh Chelukka Ramdas +, Baik-Woo Lee, Venky Sundaram,

More information

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Satyanarayana Telikepalli, Madhavan Swaminathan, David Keezer Department of Electrical & Computer

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

2016 IEEE 66th Electronic Components and Technology Conference

2016 IEEE 66th Electronic Components and Technology Conference 2016 IEEE 66th Electronic Components and Technology Conference Next Generation Panel-Scale RDL with Ultra Small Photo Vias and Ultra-fine Embedded Trenches for Low Cost 2.5D Interposers and High Density

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Design Guide for High-Speed Controlled Impedance Circuit Boards

Design Guide for High-Speed Controlled Impedance Circuit Boards IPC-2141A ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design Guide for High-Speed Controlled Impedance Circuit Boards Developed by the IPC Controlled Impedance Task Group (D-21c) of the High Speed/High

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies Zukunftstechnologie Dünnglasbasierte elektrooptische Baugruppenträger Dr. Henning Schröder Fraunhofer IZM, Berlin, Germany Today/Overview Motivation: external roadmaps High Bandwidth and Channel Density

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency

Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency As originally published in the IPC APEX EXPO Conference Proceedings. Characterization of Printed Circuit Board Material & Manufacturing Technology for High Frequency AT&S Leoben, Austria Oliver Huber 1,

More information

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct 18-20 2016, IWLPC 1 Outline Laminate to Fan-Out

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Technology development for a flexible, low-cost backplane for lighting applications

Technology development for a flexible, low-cost backplane for lighting applications IMAPS-Benelux Spring Event 2014 Technology development for a flexible, low-cost backplane for lighting applications M. Cauwe 1, A. Sridhar 2, T. Sterken 1 1 imec - Cmst, Technologiepark, Zwijnaarde, Belgium

More information

Design and experimental realization of the chirped microstrip line

Design and experimental realization of the chirped microstrip line Chapter 4 Design and experimental realization of the chirped microstrip line 4.1. Introduction In chapter 2 it has been shown that by using a microstrip line, uniform insertion losses A 0 (ω) and linear

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN? EOTPR Customer Case Studies EUFANET Workshop: Findings OPEN? OUTLINE o EOTPR introduction basic scheme o EOTPR OPEN customer case studies o Open on BGA trace (evaluation) o Open on embedded BGA trace o

More information

Student Research & Creative Works

Student Research & Creative Works Scholars' Mine Masters Theses Student Research & Creative Works Summer 2016 De-embedding method for electrical response extraction of through-silicon via (TSV) in silicon interposer technology and signal

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections

System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections Header for SPIE use System demonstrator for board-to-board level substrate-guided wave optoelectronic interconnections Xuliang Han, Gicherl Kim, Hitesh Gupta, G. Jack Lipovski, and Ray T. Chen Microelectronic

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

PCB Material Selection for High-speed Digital Designs. Add a subtitle

PCB Material Selection for High-speed Digital Designs. Add a subtitle PCB Material Selection for High-speed Digital Designs Add a subtitle Outline Printed Circuit Boards (PCBs) for Highspeed Digital (HSD) applications PCB factors that limit High-speed Digital performance

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

THE need for increased die-to-die bandwidth in highperformance

THE need for increased die-to-die bandwidth in highperformance 552 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Design and Demonstration of a 2.5-D Glass Interposer BGA Package for High Bandwidth and Low Cost Brett

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1 10.1 A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon A. Babakhani, X. Guan, A. Komijani, A. Natarajan, A. Hajimiri California Institute of Technology, Pasadena, CA Achieving

More information

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects 160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects Fuad Doany, Clint Schow, Jeff Kash C. Baks, D. Kuchta, L. Schares, & R. John IBM T. J. Watson Research Center doany@us.ibm.com

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Parameter Frequency Typ (GHz) See page 7 for minimum performance specs of AMM7602UC connectorized modules. Description Green Status

Parameter Frequency Typ (GHz) See page 7 for minimum performance specs of AMM7602UC connectorized modules. Description Green Status The is a broadband MMIC LO buffer amplifier that efficiently provides high gain and output power over a 20-55 GHz frequency band. It is designed to provide a strong, flat output power response when driven

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications.

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Steve Melvin Principal Engineer Teledyne-Labtech 8 Vincent Avenue, Crownhill, Milton Keynes, MK8 AB Tel

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

Advanced Embedded Packaging for Power Devices

Advanced Embedded Packaging for Power Devices 2017 IEEE 67th Electronic Components and Technology Conference Advanced Embedded Packaging for Power Devices Naoki Hayashi, Miki Nakashima, Hiroshi Demachi, Shingo Nakamura, Tomoshige Chikai, Yukari Imaizumi,

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

THE continuous increase of data-intensive smart mobile

THE continuous increase of data-intensive smart mobile IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 1, JANUARY 2016 87 Design and Demonstration of Power Delivery Networks With Effective Resonance Suppression in Double-Sided

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Modeling, Design and Demonstration of Integrated Electromagnetic Shielding for Miniaturized RF SOP Glass Packages

Modeling, Design and Demonstration of Integrated Electromagnetic Shielding for Miniaturized RF SOP Glass Packages Modeling, Design and Demonstration of Integrated Electromagnetic Shielding for Miniaturized RF SOP Glass Packages Srikrishna Sitaraman, Junki Min, Markondeya Raj Pulugurtha, Min Suk Kim, Venky Sundaram,

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Approach for Probe Card PCB

Approach for Probe Card PCB San Diego, CA High Density and High Speed Approach for Probe Card PCB Takashi Sugiyama Hitachi Chemical Co. Ltd. Overview Technical trend for wafer level testing Requirement for high density and high speed

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Nan Ya Plastics Corp.

Nan Ya Plastics Corp. Nan Ya Plastics Corp. The Signal Integrity Study with Fiber Weave Effect Speaker: Peter Liang Electro Material Div. Copper Clad Laminate Unit Nanya CCL 1 Outline: -Demand of High Data Rate For Transmission

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications R. L. Li, G. DeJean, K. Lim, M. M. Tentzeris, and J. Laskar School of Electrical and Computer Engineering

More information