Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Size: px
Start display at page:

Download "Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC"

Transcription

1 PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC 1

2 Outline Laminate to Fan-Out WLP Transition FOWLP POP Key Elements POP Interconnect Features Conclusion 2 Oct 18-20, 2016 IWLPC

3 Outline Laminate to Fan-Out WLP Transition FOWLP POP Key Elements POP Interconnect Features Conclusion 3 Oct 18-20, 2016 IWLPC

4 Fan-Out Evolution OSAT / wafer foundries Opportunity area for wafer/panel level Fan-Out solutions Evolving 100um Substrate design Rule 10um ~ 8 2um 2um 4 Oct 18-20, 2016 IWLPC

5 Package Stacking Transitioning PIP Redistributed bonding pads 2.5D stacking with mostly wirebond based approach 5 Oct 18-20, 2016 IWLPC

6 Package Stacking Transitioning PIP Laminate POP Redistributed bonding pads 2.5D stacking with mostly wirebond based approach Solder only 1st Gen POP TMV Warpage control BVA Finer POP pitch 6 Oct 18-20, 2016 IWLPC

7 Package Stacking Transitioning PIP Redistributed bonding pads Laminate POP FOWLP POP 2.5D stacking with mostly wirebond based approach Solder only 1st Gen POP Chip last Wafer Level process replacing laminate substrate with thinner RDLs TMV Warpage control Chip first Achieving lowest stack profile with improved performance with RDLs built directly on chip BVA Finer POP pitch 7 Oct 18-20, 2016 IWLPC

8 POP Driving FOWLP Growth Source: POP is the major application to drive FOWLP market 4~5 X growth to $2.5B in Oct 18-20, 2016 IWLPC

9 Outline Laminate to Fan-Out WLP Transition FOWLP POP Key Elements POP Interconnect Features Conclusion 9 Oct 18-20, 2016 IWLPC

10 FOWLP POP Key Ingredients FOWLP 10 Oct 18-20, 2016 IWLPC

11 FOWLP POP Key Ingredients Manufacturing : Panel OR wafer FOWLP 11 Oct 18-20, 2016 IWLPC

12 FOWLP POP Key Ingredients Chip: First (face up OR face down) OR Last Manufacturing : Panel OR wafer FOWLP 12 Oct 18-20, 2016 IWLPC

13 FOWLP POP Key Ingredients Chip: First (face up OR face down) OR Last Manufacturing : Panel OR wafer FOWLP Vias: Formed post mold OR Preformed 13 Oct 18-20, 2016 IWLPC

14 FOWLP POP Key Ingredients Chip: First (face up OR face down) OR Last Manufacturing : Panel OR wafer FOWLP Vias: Formed post mold OR Preformed 1 st side RDL(s): after molding OR before molding on a carrier 2 nd side RDL: if needed 14 Oct 18-20, 2016 IWLPC

15 FOWLP POP Key Ingredients Chip: First (face up OR face down) OR Last Vias: Formed post mold OR Preformed Manufacturing : Panel OR wafer FOWLP 1 st side RDL(s): after molding OR before molding on a carrier 2 nd side RDL: if needed Molding 15 Oct 18-20, 2016 IWLPC

16 FOWLP POP Key Ingredients Chip: First (face up OR face down) OR Last Vias: Formed post mold OR Preformed Manufacturing : Panel OR wafer FOWLP 1 st side RDL(s): after molding OR before molding on a carrier Reliability: copper bumped die OR thick dielectric added 2 nd side RDL: if needed Molding 16 Oct 18-20, 2016 IWLPC

17 FOWLP POP Key Ingredients ewlb POP Chip: First (face up OR face down) OR Last Manufacturing : Panel OR wafer FOWLP Reliability: copper bumped die OR thick dielectric added Molding SLIM/SWIFT BEOL/RDL Vias: Formed post mold OR Preformed 1 st side RDL(s): after molding OR before molding on a carrier 2 nd side RDL: if needed 17 Oct 18-20, 2016 IWLPC

18 Chip First Facing Down: ewlb POP Source: S. W. Yoon et. al., IWLPC, Oct 18-20, 2016 IWLPC

19 Chip First Facing Down: ewlb POP Manufacturing : wafer Reliability: thick dielectric added Source: S. W. Yoon et. al., IWLPC, 2011 Chip: First face down) ewlb POP Molding Vias: preformed (embedded PCB vias) 1 st side RDL(s): after molding 2 nd side RDL: No 19 Oct 18-20, 2016 IWLPC

20 Chip First Facing Down: ewlb POP Manufacturing : wafer Reliability: thick dielectric added Source: S. W. Yoon et. al., IWLPC, 2011 Chip: First face down) ewlb POP Molding ewlb POP: Vias: preformed (embedded PCB vias) Chip first, face down; RDL L/S 10/10um; 1 st side RDL(s): after molding Preformed vias by embedding laminate PCB with through-vias; Min via pitch ~ 0.27mm 2 nd side RDL: No 20 Oct 18-20, 2016 IWLPC

21 Chip First Facing Up : InFO POP Source: C.F. Tseng, et. al., ECTC 2016 Source: System Plus Consulting 21 Oct 18-20, 2016 IWLPC

22 Chip First Facing Up : InFO POP Source: C.F. Tseng, et. al., ECTC 2016 Chip: First face Up) Manufacturing : wafer InFO POP Reliability: Tall Cu pads and thick dielectric added Molding Source: System Plus Consulting Vias: preformed (plated Cu pillars) 1 st side RDL(s): after molding 2 nd side RDL: PI only 22 Oct 18-20, 2016 IWLPC

23 Chip First Facing Up : InFO POP Source: C.F. Tseng, et. al., ECTC 2016 Chip: First face Up) Manufacturing : wafer InFO POP Reliability: Tall Cu pads and thick dielectric added Molding Source: System Plus Consulting InFO POP: Vias: preformed (plated Cu pillars) Chip first, face up; 1 Fan-in RDL + 3 Fan-out RDL; Preformed vias by plated Cu pillars; Via pitch 300um (could be as low as 60um) 1 st side RDL(s): after molding 2 nd side RDL: PI only 23 Oct 18-20, 2016 IWLPC

24 Chip Last: SWIFT and SLIM Source: Amkor White Paper 24 Oct 18-20, 2016 IWLPC

25 Chip Last: SWIFT and SLIM Manufacturing : wafer Reliability: Flip chip Chip: Last (flip chip) SWIFT/ SLIM Molding Source: Amkor White Paper Vias: Postformed (TMV) 1 st side RDL(s): before molding 2 nd side RDL: No 25 Oct 18-20, 2016 IWLPC

26 Chip Last: SWIFT and SLIM Chip: Last (flip chip) Manufacturing : wafer SWIFT/ SLIM Reliability: Flip chip Molding SLIM and SWIFT: Source: Amkor White Paper Vias: Postformed (TMV) 26 Oct 18-20, 2016 IWLPC 1 st side RDL(s): before molding Chip last with a flip chip reflow step; Finer L/S with SLIM BEOL layers; TMV like via by laser opening; Min Via pitch ~ 0.30mm 2 nd side RDL: No

27 Outline Laminate to Fan-Out WLP Transition FOWLP POP Key Elements POP Interconnect Features Conclusion 27 Oct 18-20, 2016 IWLPC

28 Chip Last Process Flow with Preformed Vias Release tape 1, Carrier wafer/panel with release tape 5, Overmold to cover die and POP Vias RDL Passivation POP Pad C4 Pad UBM 2, Build up RDL (second level UBM -> RDL -> C4 & POP pads) Preformed Vias (BVA or etched) 6, Grind back the mold to reveal the Via pads; optional 2 nd side RDL 3, Preform POP Vias on the wafer/panel (wire bond, etched, etc.) Die SoD 7-9, Remove carrier wafer/panel; Ball attach; singulation Fan-in RDL pads for POP connectivity 4, Flip chip attach dies with solder on pads, reflow to make joints Final Package with 2 nd side RDL 28 Oct 18-20, 2016 IWLPC

29 Preformed Vias Etched Cu upilr upilr is a scalable Fine Pitch Interconnect Technology with etched Cu post, suitable for POP, flip chip and BGA Etched Cu upilr Pillar on Substrate After stacking upilr POP: - Batch process fine pitch interconnect, min Pitch 150~200um - Good wetting and self-alignment capability for solder reflow - Superior drop and T/C performance compared to BGA An earlier upilr POP implementation 29 Oct 18-20, 2016 IWLPC

30 Preformed Vias BVA BVA is a Very Fine Pitch Vertical Wirebond Interconnect Technology, ideal for POP BVA Vertical Interconnects BVA POP: - Utilize existing wire bond facilities - Fine pitch capability of 150um - Validated HVM feasibility with a Tier 1 OSAT 30 Oct 18-20, 2016 IWLPC

31 BVA on RDL Bondability Study JEDEC Requirement TV: 10um PI with 5um Cu pad on 4 wafer All test legs passed JEDEC ball shear requirement with high margin BVA shows good bondability on RDL Ack: Tong Hsing Electronics 31 Oct 18-20, 2016 IWLPC

32 Cost Per Interconnect (Normalized) Cost Comparison Plated Cu Pillar BVA Wire Laser Drilled TMV Pitch ~0.3mm Pitch ~0.2mm Pitch ~0.16mm Estimated # of POP IO per 15X15mm WLP Ack: Savansys Solutions Sequential process has cost advantage up to ~800 IOs per package (15x15mm WLP on 12 inch wafer, all with 200um tall interconnect) 32 Oct 18-20, 2016 IWLPC

33 Interconnect Technology Comparison POP Interconnect BVA Wires/ Etched Cu posts Chip first, face up Compatibility ("X" for compatible) Chip first, face down Chip last Backside RDL Min Pitch X X X 0.15mm Plated Cu Pillars X X X 0.06mm- Through Mold Lased Vias X 0.30mm Solder balls X 0.4mm+ PCB Through-Vias X X X 0.27mm 33 Oct 18-20, 2016 IWLPC

34 FOWLP POP Comparison ewlb POP InFO SLIM/SWIFT upilr/bva Process flow Chip (face down) Interconnect (PCB through-vias) RDL Features - Mature FOWLP process; Limitations - Coarse POP pitch; - Process/material complexity Interconnect (Plated Cu pillar) Chip (face up) RDL - Thinnest POP in market; - Finest POP pitch - Package cost - Warpage 34 Oct 18-20, 2016 IWLPC BEOL/RDL Chip (flip chip) Interconnect (TMV) - Fine L/S (BEOL); - Chip last - Package cost - Thickness RDL/ Laminate Interconnect (etched Cu pillar, vertical BVA) Chip (flip chip) - Preformed POP Vias at fine pitch; - Process yield and reliability - BVA Sequential process; - Infrastructure compatibility

35 Conclusion Laminate to Fan-Out WLP Transition POP SoC requirement of IO density, thickness, and L/S drives transition to FOWLP 35 Oct 18-20, 2016 IWLPC

36 Conclusion Laminate to Fan-Out WLP Transition POP SoC requirement of IO density, thickness, and L/S drives transition to FOWLP FOWLP POP Key Elements Main distinguishing feature among FOWLP approaches is Chip first or Chip last, which impacts cost and yield 36 Oct 18-20, 2016 IWLPC

37 Conclusion Laminate to Fan-Out WLP Transition POP SoC requirement of IO density, thickness, and L/S drives transition to FOWLP FOWLP POP Key Elements Main distinguishing feature among FOWLP approaches is Chip first or Chip last, which impacts cost and yield POP Interconnect Features Cu post offers finest pitch and thinnest package BVA and upilr are fine pitch alternatives utilizing existing assembly infrastructure 37 Oct 18-20, 2016 IWLPC

38 Acknowledgement Tong Hsing Electronics for bondability study Savansys Solutions for BVA cost analysis Hala Shaba and Rajesh Katkar from Invensas team for FA support 38 Oct 18-20, 2016 IWLPC

39 Thank you! 39 Q&A Contact Info: Min Tao Tel:

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

New Approaches to Develop a Scalable 3D IC Assembly Method

New Approaches to Develop a Scalable 3D IC Assembly Method New Approaches to Develop a Scalable 3D IC Assembly Method Charles G. Woychik Ph.D. Sangil Lee, Ph.D., Scott McGrath, Eric Tosaya and Sitaram Arkalgud Ph.D. Invensas Corporation 3025 Orchard Parkway San

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

PANEL LEVEL PACKAGING A MANUFACTURING SOLUTION FOR COST-EFFECTIVE SYSTEMS

PANEL LEVEL PACKAGING A MANUFACTURING SOLUTION FOR COST-EFFECTIVE SYSTEMS PANEL LEVEL PACKAGING A MANUFACTURING SOLUTION FOR COST-EFFECTIVE SYSTEMS R. Aschenbrenner, K.-F. Becker, T. Braun, and A. Ostmann Fraunhofer Institute for Reliability and Microintegration Berlin, Germany

More information

Data Sheet _ R&D. Rev Date: 8/17

Data Sheet _ R&D. Rev Date: 8/17 Data Sheet _ R&D Rev Date: 8/17 Micro Bump In coming years the interconnect density for several applications such as micro display, imaging devices will approach the pitch 10um and below. Many research

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) by Tom Strothmann, *Damien Pricolo, **Seung Wook Yoon, **Yaojian Lin STATS ChipPAC Inc.1711 W Greentree Drive Tempe,

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Paul Castillou, Roberto Gaddi, Rob van Kampen, Yaojian Lin*, Babak Jamshidi** and Seung Wook Yoon*** Cavendish Kinetics, 2960 North First Street,

More information

Electroless Bumping for 300mm Wafers

Electroless Bumping for 300mm Wafers Electroless Bumping for 300mm Wafers T. Oppert Internepcon 2006 Tokyo Big Sight, Japan Outline Short Company Profile Electroless Ni/Au Under Bump Metallization UBM for Copper Devices Solder Bumping: Stencil

More information

2D to 3d architectures: back to the future

2D to 3d architectures: back to the future 2D to 3d architectures: back to the future Raja Swaminathan Package architect Intel Corporation 2018 IMAPS Device Packaging Keynote, 03/06/2018 acknowledgements Ravi Mahajan, Ram Viswanath, Bob Sankman,

More information

Embedded Si Fan Out: A Low Cost Wafer Level Packaging Technology Without Molding and De-bonding Processes

Embedded Si Fan Out: A Low Cost Wafer Level Packaging Technology Without Molding and De-bonding Processes 2017 IEEE 67th Electronic Components and Technology Conference Embedded Si Fan Out: A Low Cost Wafer Level Packaging Technology Without Molding and De-bonding Processes Daquan Yu*, Zhenrui Huang, Zhiyi

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI)

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) 2017 IEEE 67th Electronic Components and Technology Conference Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) F.X. Che*, M. Kawano, M.Z. Ding, Y. Han,

More information

Substrates Lost in Translation

Substrates Lost in Translation 2004 IEEE PRESENTATION Components, Packaging & Manufacturing Technology (CPMT) Society, Santa Clara Valley Chapter www.cpmt.org/scv/ Substrates Lost in Translation R. Huemoeller Vice President, Substrate

More information

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine by Yaojian Lin, Kang Chen, Kian Meng Heng, Linda Chua and *Seung Wook Yoon STATS ChipPAC Ltd. 5

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

Figure 1. FCBGA and fccsp Packages

Figure 1. FCBGA and fccsp Packages Packaging Technology and Design Challenge for Fine Pitch Micro-Bump Cu-Pillar and BOT (Direct Bond on Substrate-Trace) Using TCNCP (Thermal Compression with Non-Conductive Paste Underfill) Method *MJ (Myung-June)

More information

سمینار درس تئوری و تکنولوژی ساخت

سمینار درس تئوری و تکنولوژی ساخت نام خدا به 1 سمینار درس تئوری و تکنولوژی ساخت Wire Bonding استاد : جناب آقای محمدنژاد دکتر اردیبهشت 93 2 3 Content IC interconnection technologies Whats wirebonding Wire Bonding Processes Thermosonic Wirebond

More information

Design and Development of True-CSP

Design and Development of True-CSP Design and Development of True-CSP *Kolan Ravi Kanth, Francis K.S. Poh, B.K. Lim, Desmond Y.R. Chong, Anthony Sun, H.B. Tan United Test & Assembly Center Ltd (UTAC) 5 Serangoon North Ave 5, Singapore 554916

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding

Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding MJ (Myung-June) Lee 1, Chew Ching Lim 2, Pheak Ti Teh 2 1: Altera Corporation,

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Stack Die CSP Interconnect Challenges Flynn Carson, Glenn Narvaez, HC Choi, and DW Son ChipPAC, Inc.

Stack Die CSP Interconnect Challenges Flynn Carson, Glenn Narvaez, HC Choi, and DW Son ChipPAC, Inc. Stack Die CSP Interconnect Challenges Flynn Carson, Glenn Narvaez, HC Choi, and DW Son ChipPAC, Inc. IEEE/CPMT Seminar Overview 4 Stacked die Chip Scale Packages (CSPs) enable more device functionality

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

Advanced Embedded Packaging for Power Devices

Advanced Embedded Packaging for Power Devices 2017 IEEE 67th Electronic Components and Technology Conference Advanced Embedded Packaging for Power Devices Naoki Hayashi, Miki Nakashima, Hiroshi Demachi, Shingo Nakamura, Tomoshige Chikai, Yukari Imaizumi,

More information

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices Jensen Tsai Deputy Director, SPIL Building a Smarter World Wearable Internet of Things Building a Smarter World Mobile Devices

More information

An innovative plating system

An innovative plating system Volume 38 Issue 1 2016 @siliconsemi www.siliconsemiconductor.net Linde: On-site generated fl uorine The year that was 2015 An innovative plating system for next generation packaging technologies Imec s

More information

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS May 1st 2018 Justin C. Borski i3 Microsystems Inc. justin.borski@i3microsystems.com A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS Presentation

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

Electronic Costing & Technology Experts

Electronic Costing & Technology Experts Electronic Costing & Technology Experts 21 rue la Nouë Bras de Fer 44200 Nantes France Phone : +33 (0) 240 180 916 email : info@systemplus.fr www.systemplus.fr September 2016 Version 1 Written by Stéphane

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP)

Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP) Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP) Steffen Kröhnert, Director of Technology André Cardoso, Senior R&D Integration Engineer

More information

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES Fig. 5: Scanning Electron Microscopy images (TOP view, 3D view, Zoome including all metal levels of the BSI imager structure. (dashed line shows bonding IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

More information

IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES

IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings. IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES Brian Roggeman and Beth Keser Qualcomm Technologies, Inc. San Diego, CA, USA roggeman@qti.qualcomm.com

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Integration of 3D detector systems

Integration of 3D detector systems Integration of 3D detector systems Piet De Moor Introduction Evolution in radiation detection/imaging: single pixel linear array 2D array increase in resolution = decrease in pitch (down to few um) = thanks

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division Image Sensor Advanced Package Solution Prepared by : JL Huang & KingPak RD division Contents CMOS image sensor marketing overview Comparison between different type of CMOS image sensor package Overview

More information

Flip Chips. FA10-200x200 FA10-400x400 FA10-600x x 200 mils 400 x 400 mils

Flip Chips. FA10-200x200 FA10-400x400 FA10-600x x 200 mils 400 x 400 mils Flip Chip FlipChip International Flip Chip describes the method of electrically connecting the die to the package carrier. The package carrier, either substrate or leadframe, then provides the connection

More information

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Richard Crisp 1, Bill Gervasi 2, Wael Zohni 1, Bel Haba 3 1 Invensas Corp, 2902 Orchard Parkway,

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS Yin-Po Hung, Tao-Chih Chang, Ching-Kuan Lee, Yuan-Chang Lee, Jing-Yao Chang, Chao-Kai Hsu, Shu-Man Li, Jui-Hsiung Huang, Fang-Jun

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

Sherlock Solder Models

Sherlock Solder Models Introduction: Sherlock Solder Models Solder fatigue calculations in Sherlock are accomplished using one of the many solder models available. The different solder models address the type of package that

More information

SESUB - Its Leadership In Embedded Die Packaging Technology

SESUB - Its Leadership In Embedded Die Packaging Technology SESUB - Its Leadership In Embedded Die Packaging Technology Sip Conference China 2018 TDK Corporation ECBC, PAF, SESUB BU Kofu, Japan October 17, 2018 Contents SESUB Introduction SESUB Process SESUB Quality

More information

Laser Solder Attach for Optoelectronics Packages

Laser Solder Attach for Optoelectronics Packages 1 Laser Solder Attach for Optoelectronics Packages Elke Zakel, Lars Titerle, Thomas Oppert, Ronald G. Blankenhorn* Pac Tech Packaging Technologies GmbH Am Schlangenhorst 15-17, Germany Phone:+ 49 (0) 33

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Hugo Pristauz & Andreas Mayr, Besi Austria presented by: Stefan Behler, Besi Switzerland ECTC 2018

More information

10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate

10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate 10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate Ming-Che Hsieh, Chi-Yuan Chen*, Ian Hsu*, Stanley Lin* and KeonTaek Kang** Product and Technology Marketing / STATS ChipPAC Pte. Ltd.

More information

Low-Cost PCB Design 1

Low-Cost PCB Design 1 Low-Cost PCB Design 1 PCB design parameters Defining PCB design parameters begins with understanding: End product features, uses, environment, and lifetime goals PCB performance, manufacturing, and yield

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

inemi Statement of Work (SOW) Packaging TIG Primary Factors in Component Warpage

inemi Statement of Work (SOW) Packaging TIG Primary Factors in Component Warpage inemi Statement of Work (SOW) Packaging TIG Primary Factors in Component Warpage Version 3.0 Date: September 21, 2010 Project Leader: Peng Su (Cisco Systems) Co-Project Leader: inemi Coach: Jim Arnold

More information

3D PLUS technology and offer

3D PLUS technology and offer 3D PLUS technology and offer By Dr Pascal Couderc, 3D PLUS 408, Rue Hélène Boucher 78532 BUC France Phone: + 33 1 30 83 26 50 Email : www.3d-plus.com TM P.COUDERC 3D PLUS technology and offer 1 Outline

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

Advances in stacked-die packaging

Advances in stacked-die packaging pg.10-15-carson-art 16/6/03 4:12 pm Page 1 The stacking of die within IC packages, primarily Chip Scale Packages (CSP) Ball Grid Arrays (BGAs) has evolved rapidly over the last few years. The now standard

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

Fine Pitch Cu Pillar Assembly Challenges for Advanced Flip Chip Package

Fine Pitch Cu Pillar Assembly Challenges for Advanced Flip Chip Package Fine Pitch Cu Pillar Assembly Challenges for Advanced Flip Chip Package by Nokibul Islam and Vinayak Pandey, STATS ChipPAC, Inc. Ming-Che Hsieh, STATS ChipPAC Pte. Ltd. Kang Keon Taek, STATS ChipPAC Korea

More information

3D Integration developments & manufacturing CEA-LETI. D. Henry CEA-Leti-Minatec

3D Integration developments & manufacturing CEA-LETI. D. Henry CEA-Leti-Minatec 3D Integration developments & manufacturing offer @ CEA-LETI D. Henry CEA-Leti-Minatec Outline Introduction 3D Integration R&D activities overview 3D integration Manufacturing offer : Open 3D platform

More information

NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS

NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS NEW PACKAGING AND INTERCONNECT TECHNOLOGIES FOR ULTRA THIN CHIPS Christine Kallmayer and Rolf Aschenbrenner Fraunhofer IZM Berlin, Germany kallmayer@izm.fhg.de Julian Haberland and Herbert Reichl Technical

More information

Two major features of this text

Two major features of this text Two major features of this text Since explanatory materials are systematically made based on subject examination questions, preparation

More information

Application of 3D PLUS WDoD technology for the manufacturing of electronic modules 25/02/2017 for implantable medical products

Application of 3D PLUS WDoD technology for the manufacturing of electronic modules 25/02/2017 for implantable medical products Application of 3D PLUS WDoD TM technology for the manufacturing of electronic modules for implantable medical products By Dr Pascal Couderc 1, Karima Amara², Frederic Minault 2 3D PLUS 1 408, Rue Hélène

More information

Overcoming the Challenges of HDI Design

Overcoming the Challenges of HDI Design ALTIUMLIVE 2018: Overcoming the Challenges of HDI Design Susy Webb Design Science Sr PCB Designer San Diego Oct, 2018 1 Challenges HDI Challenges Building the uvia structures The cost of HDI (types) boards

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication 2017 IEEE 67th Electronic Components and Technology Conference Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication Kazutaka Honda, Naoya Suzuki, Toshihisa Nonaka, Hirokazu

More information

2016 Substrate & Package Technology Workshop Highlight

2016 Substrate & Package Technology Workshop Highlight 2016 Substrate & Package Technology Workshop Highlight Webinar July 13, 2016 Theme of the Workshop inemi roadmap and Technical plan highlighted that year 2015 was the year entering critical package technology

More information

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension

Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Flip chip Assembly with Sub-micron 3D Re-alignment via Solder Surface Tension Jae-Woong Nah*, Yves Martin, Swetha Kamlapurkar, Sebastian Engelmann, Robert L. Bruce, and Tymon Barwicz IBM T. J. Watson Research

More information

Thinning of IC chips

Thinning of IC chips 1 Thinning of IC chips Annette Teng CORWIL TECHNOLOGY CORP. 1635 McCarthy Blvd. Milpitas, CA 95135 2 CONTENT Industry Demand for thinness Method to achieve ultrathin dies Mechanical testing of ultrathin

More information

"Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers"

Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8 and 12 Wafers 1 "Low Cost Electroless Bumping for Ultra Fine Pitch Applications in 8" and 12" Wafers" Elke Zakel, Thomas Oppert, Ghassem Azdasht, Thorsten Teutsch * Pac Tech Packaging Technologies GmbH Am Schlangenhorst

More information

Enabling Materials for Wafer Level Packaging, MEMS & Sensor Assembly

Enabling Materials for Wafer Level Packaging, MEMS & Sensor Assembly Enabling Materials for Wafer Level Packaging, MEMS & Sensor Assembly 28 th Chemnitzer Seminar June 12 th, 2018 by Ruud de Wit Henkel Electronic Materials Content Henkel Electronic Materials Introduction

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

8th Annual International Wafer-Level Packaging Conference & Tabletop Exhibition 2011 (IWLPC 2011) Santa Clara, California, USA 3-6 October 2011

8th Annual International Wafer-Level Packaging Conference & Tabletop Exhibition 2011 (IWLPC 2011) Santa Clara, California, USA 3-6 October 2011 8th Annual International Wafer-Level Packaging Conference & Tabletop Exhibition 2011 (IWLPC 2011) Santa Clara, California, USA 3-6 October 2011 ISBN: 978-1-61839-309-8 Printed from e-media with permission

More information

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions MicroSiP TM DC/DC Converters Fully Integrated Power Solutions PicoStar TM Christophe Vaucourt Thies Puchert, Udo Ottl, Frank Stepniak, Florian Feckl 1 Outline Illustrate TI s recent developments in the

More information

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION

SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION SOLDER BUMP FLIP CHIP BONDING FOR PIXEL DETECTOR HYBRIDIZATION Jorma Salmi and Jaakko Salonen VTT Information Technology Microelectronics P.O. Box 1208 FIN-02044 VTT, Finland (visiting: Micronova, Tietotie

More information

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin The Future of Packaging and Cu Wire Bonding Advances Ivy Qin Introduction Semiconductors have been around for over 70 years Packaging is playing a more and more important role, providing low cost high

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Bob Willis Process Guides

Bob Willis Process Guides What is a Printed Circuit Board Pad? What is a printed circuit board pad, it may sound like a dumb question but do you stop to think what it really does and how its size is defined and why? A printed circuit

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

2016 IEEE 66th Electronic Components and Technology Conference

2016 IEEE 66th Electronic Components and Technology Conference 2016 IEEE 66th Electronic Components and Technology Conference Next Generation Panel-Scale RDL with Ultra Small Photo Vias and Ultra-fine Embedded Trenches for Low Cost 2.5D Interposers and High Density

More information