THE need for increased die-to-die bandwidth in highperformance

Size: px
Start display at page:

Download "THE need for increased die-to-die bandwidth in highperformance"

Transcription

1 552 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Design and Demonstration of a 2.5-D Glass Interposer BGA Package for High Bandwidth and Low Cost Brett M. D. Sawyer, Yuya Suzuki, Ryuta Furuya, Chandrasekharan Nair, Ting-Chia Huang, Vanessa Smet, Kadappan Panayappan, Venky Sundaram, and Rao Tummala, Life Fellow, IEEE Abstract Consumer demand for mobile services is expected to grow with the continued proliferation of connected devices including smartphones, wearables, and Internet of things. As a result, high-performance computing systems that support the core network and cloud infrastructures for these connected devices require unprecedented die-to-die bandwidth at low latency. To achieve next-generation performance requirements and to apply to commercial products, fundamental parameters for 2.5-D interposers are considered including: 1) high interconnect density at short interconnect length; 2) low power consumption; and 3) low packaging cost. The 2.5-D glass interposer described in this paper is superior to silicon interposer in cost and electrical performance, and to organic interposer in interconnect density. This paper describes a 2.5-D glass interposer as a ball grid array (BGA) package to achieve high bandwidth at low cost to improve bandwidth per unit watt signal power per unit dollar cost (BWF) compared to both silicon and organic interposers. Due to its high modulus and excellent surface finish, glass affords ultrafine line lithography to form high-density interconnects comparable to silicon, and the process described in this paper goes beyond silicon back-end-of-line processes by implementing a double-side semi-additive process (SAP) at increased copper layer thickness. This thicker metallization results in reduced conductor losses and improved bandwidth per channel compared to silicon. In addition, the low loss tangent of glass reduces dielectric losses in nets requiring through vias including clock distribution and high-speed off-package signals. Availability of glass in thin panel as well as in roll-to-roll formats beyond 500 mm in size reduces packaging cost compared to 300-mm wafer silicon interposer. The focus of this paper is on the integration of three enabling technologies: 1) advanced SAP for high-density redistribution layers (RDLs); 2) excimer laser ablation of RDL vias; and 3) fine-pitch thermocompression bonding with copper pillar die assembly for a 2.5-D glass interposer at interconnect densities comparable to that of silicon to achieve terabit per second interdie bandwidth at highest BWF. Index Terms 2.5-D interposer, electronics packaging, excimer laser via, glass interposer, high-performance computing (HPC), multichip modules, semi-additive process (SAP). Manuscript received December 29, 2015; revised September 20, 2016; accepted January 9, Date of publication March 2, 2017; date of current version April 18, Recommended for publication by Associate Editor T.-C. Chiu upon evaluation of reviewers comments. The authors are with the Packaging Research Center, Georgia Institute of Technology, Atlanta, GA USA ( bsawyer@gatech.edu; yuya.suzuki@ece.gatech.edu; rfuruya3@mail.gatech.edu; cnair3@gatech.edu; thuang68@gatech.edu; vanessa.smet@prc.gatech.edu; kadappan@prc. gatech.edu; vs24@mail.gatech.edu; rao.tummala@ece.gatech.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TCPMT I. INTRODUCTION THE need for increased die-to-die bandwidth in highperformance computing (HPC) is a direct result of the continued proliferation and projected growth of connected devices. Not only is the increase in personal mobile services pushing HPC bandwidth, but machine-to-machine communication required for a new era of Internet of things, autonomous electric vehicles, and smart homes and cities is expected to result in an unprecedented demand for bandwidth at lowest latency, lowest cost, and highest reliability [1]. As a result, new packaging architectures and technologies, such as 2.5-D integration as shown in Fig. 1, are needed to meet five critical requirements. A. System Requirements The five fundamental parameters in achieving the needed bandwidth in any commercialized package architecture include the following: 1) high interconnect density at short interconnect length; 2) low power consumption; 3) low package layer count; 4) low cost; 5) high reliability. Optimization of these parameters leads to a maximum bandwidth per unit watt signal power per unit dollar cost BWF such that δr BWF = η(r)cl 2 (1) where δ is the interconnect density in lines per unit length per layer, r is the data rate per line, η is the signal power per unit length at a given data rate r, C is the fabrication cost per unit area per layer, and L is the interconnect length. Increasing interconnection density is dependent on two factors: 1) decreasing redistribution layer (RDL) line pitch and width, and 2) decreasing chip-level bump pitch. Minimizing RDL line pitch requires a substrate with low surface roughness and total thickness variation, as well as high coplanarity to improve lithography ground rules. Reducing chip-level bump pitch requires a substrate coefficient of thermal expansion (CTE) matched to the IC to improve chip-level interconnect reliability, while also enabling direct IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 SAWYER et al.: DESIGN AND DEMONSTRATION OF A 2.5-D GLASS INTERPOSER BGA PACKAGE 553 TABLE I COMPARISON OF 2.5-D DIE INTEGRATION TECHNOLOGIES Fig D die integration on generic interposer or substrate with a highdensity interconnect volume defined by L (interconnect length), w (I/O width), and N (number signal layers) through which BWF is defined. attach to board by surface-mount technology (SMT) to reduce off-package signal power. Decreasing die-to-die I/O power requires a reduction in conductor and dielectric losses for individual signal lines, simultaneous switching noise and crosstalk between adjacent lines, and die-to-die interconnect length using advanced chip-level assembly technologies. Lowering packaging cost is achieved by reducing total package layer count and reducing RDL processing cost. B. Prior Art The first implementation of a 2.5-D interposer was Xilinx s stacked silicon interconnect (SSI) technology [2]. Stringent I/O density, signal latency, and signal power requirements determined the need to integrate a split field-programmable gate array die on a passive silicon interposer fabricated using a 45- or 65-nm process node. In doing so, greater than die-to-die interconnects are used to provide one-fifth the latency and 100 bandwidth per watt compared to standard I/O packaging implementations. According to (1), the main constraint on SSI becoming a ubiquitous 2.5-D packaging solution is cost since back-end-of-line (BEOL) processes are used to fabricate the high-density RDL layers on a 300-mm wafer format. Furthermore, die-to-die interconnects are subject to increased conductor losses due to a reduced line height determined by BEOL design rule, while off-package interconnects with through silicon via (TSV) require high-resistivity (20 cm) wafers to improve the electrical performance further increasing packaging costs [3]. To address the scalability and cost of 2.5-D silicon interposers, alternatives have been proposed including organic interposers and embedded interconnection bridges. Organic interposer implementations include integrated thin-film highdensity organic package (i-thop) by Shinko and Advanced Package X (APX) by Kyocera [4], [5]. Embedded 2.5-D solutions require a passive die with high interconnect density embedded within a substrate build-up layer. Embedded 2.5-D integration includes embedded silicon interconnect bridge by Intel and embedded glass interconnect carrier by Unimicron [6], [7]. These alternative solutions, however, are ultimately limited according to (1) by interconnection density on an organic substrate suitable for 2.5-D integration. Specifically, low-dimensional stability due to CTE mismatch affects layer-to-layer registration that reduces line density per layer, while warpage due to low modulus limits fine-line lithography as well as fine-pitch chip-level assembly and reliability. Current 2.5-D die integration technologies are compared in Table I based on the aforementioned system requirements. Silicon-based 2.5-D interposer enables high interconnect density, but at high packaging cost and high layer count. A laminate substrate is required between the silicon interposer and system printed wiring board (PWB) due to the fixed CTE

3 554 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Fig. 2. Glass interposer package schematic (not to scale) with detailed cross section (looking from die gap into the die region) depicting fine-pitch RDL, blind microvia, and chip-level assembly combined with direct board attach to provide low-power high-density die-to-die interconnection. of silicon. Low chip-level reliability results without the use of an intermediate substrate ball grid array (BGA). Package layer count is inherently increased without the ability for direct SMT to PWB. Organic-based 2.5-D interposers using thin-film technologies enable SMT to PWB and reduce RDL costs, but at the expense of I/O power and warpage at large body sizes. Organic-based 2.5-D interposers using an advanced laminate material set, while leveraging an established manufacturing infrastructure, ultimately result in higher layer count and lack of scalability to fine pitch at large body size. Embedded 2.5-D integration addresses the scalability of silicon to large body size while enabling direct board attach, but still requires wafer scale BEOL processing at higher packaging cost. Therefore, no existing 2.5-D technologies provide an optimal BWF. Georgia Tech proposes a 2.5-D glass interposer package as shown in Fig. 2, which satisfies the aforementioned system requirements. Specifically, the advantages of glass for 2.5-D integration to maximize BWF according to (1) include the following: 1) advanced semi-additive processes (SAP) with interconnect density comparable to silicon; 2) thick signal layer metallization on low-loss dielectric to reduce signal power; 3) tailorable CTE to enable large body glass BGA with SMT to PWB; 4) double-sided panel-scalable processes to reduce packaging cost; 5) high chip- and board-level reliability at less than 50-μm chip bump pitch on glass BGA with no in-between substrate. The proposed glass interposer package stack-up in Fig. 2 minimizes losses in high-speed off-interposer nets using finepitch low-loss through package vias (TPVs) as described in [8] where TPV insertion losses less than 0.15 db at f = 20 GHz are demonstrated. A glass interposer package with BGA and direct attachment to PWB can be achieved using SMT described in [9] where a glass package stack-up, similar to Fig. 2, was demonstrated with high reliability at a body size up to mm 2. Direct attachment to board using a glass interposer BGA package decreases both interconnection length and layer count compared to silicon, thus improving off-package signal performance and power delivery. Existing glass interposer technologies described in [8] and [9] motivated the focus of this paper items 1), 2), and 4) to demonstrate die-to-die interconnect density required for 2.5-D die integration at optimal BWF. Interconnect densities comparable to silicon are achieved using a double-side SAP and chip-level interconnect by thermocompression bond (TCB) with copper pillar. High line density by advanced SAP is achieved on glass due to its low surface roughness and low total thickness variation across panel. Furthermore, SAP can reduce conductor loss and dielectric loss by fabricating large aspect ratio lines on low-loss thin-film dielectrics. The following sections describe the design, fabrication, and characterization of a low-cost 2.5-D glass interposer test vehicle (TV). Section II discusses specific interposer design rules showing how the proposed SAP and blind microvia rules compare to silicon interposer line density. Section III gives an overview of the double-side fabrication process while analyzing specific RDL processes and their effect on finepitch interconnect yield. Section IV describes the 2.5-D chiplevel assembly process used and provides time zero electrical yield results in the demonstration of the proposed 2.5-D glass interposer package. II. DESIGN One of the critical enabling technologies for 2.5-D integration is line density. Line densities up to dieto-die interconnections have been achieved using single-sided wafer-scale processing. Section II-A discusses the design of a low-cost 2.5-D glass interposer, with comparable interconnect density enabled by an advanced fine-line SAP and microvia excimer laser scan ablation. A. Interconnect Density and Microvia Process Processing nodes at which silicon interposers are being fabricated employ a dual-damascene process, which enables the simultaneous fabrication of an embedded trace and blind microvia. In doing so, the need for large via capture pads in these interconnects layers is reduced and line density is maximized for efficient die escape. The microvia technology employed in the proposed fabrication process must minimize capture pad size to provide comparable line density between dies at lowest packaging cost. Lithography alignment, substrate dimensional stability, and via drill alignment are the main contributing factors to the size of a microvia capture pad. The dependence on the number of lines n routed between two via capture pads of diameter at pitch D is n (D + P) (2σ + + W) P (2)

4 SAWYER et al.: DESIGN AND DEMONSTRATION OF A 2.5-D GLASS INTERPOSER BGA PACKAGE 555 TABLE II DESIGN RULE SUMMARY FOR GLASS INTERPOSER TEST VEHICLE Fig. 3. Isometric view of escape routing interconnect density at line pitch P and width W where D is the minimum microvia pitch, is the via landing pad diameter, and σ is the minimum line to pad spacing. Fig. 4. Single-layer line density at 40-μm pad pitch and various via capture pad sizes from 5 to 25 μm as a function of SAP line pitch and width. where σ is the pad to line space, P is the line pitch, W is the linewidth determined by SAP design rules, and D is determined by the microvia process implemented as depicted in Fig. 3. Given the number of lines escaped and the inline escape geometry shown in Fig. 3, the line density δ for a single routing layer is 1000 (n+1) δ = (3) D where pad pitch D is in micrometers. The dependence on routing density on capture pad size = 5 25 μm isshown in Fig. 4 for D = 40 μm at various SAP line pitches and linewidths. Based on this plot, the design rules for escape routing and via capture pad were determined for the 2.5-D glass interposer TV. Assuming that the minimum line pitch and width are 6 and 3 μm, respectively, via capture pad diameters between 15 and 20 μm are suitable to achieve 100 lines/mm. At a signal bus width of 25 mm, 2500 dieto-die interconnections can be routed in a single layer, and identical four routing layers are required to achieve the interconnections compared to BEOL processes. Fig. 5. Glass interposer TV stack-up (not to scale) and design rule summary all units are in micrometers (μm). The TV stack-up is symmetrical. Interconnect geometries, dielectric layer names, and metal layer names are identical on top and bottom sides. B. 2.5-D Glass Interposer Test Vehicle Design The 2.5-D glass interposer TV is designed in order to demonstrate the integration of advanced fine-line SAP and fine-pitch TCB to achieve interconnect densities required for high-performance applications on a larger than reticle size coupon. TV design rules are summarized in Table II, and a schematic of the interposer stack-up including layer thickness and layer design name is shown in Fig. 5. A symmetric dielectric and metal layer stack-up is used where TPVs are not integrated into the design and the inner metal layer is fabricated on a polymer laminated glass core. The targeted interposer size is mm 3.Total interposer thickness does not include C4 bumps and is based on 100-μm and 10-μm glass core and dielectric build-up film thicknesses, respectively. A single fine-line routing layer M2 with 100 lines/mm is included with design rules based on the line density analysis above. The target metal thickness is 4 μm nominal resulting in a fine-line aspect ratio of approximately High-density lines combined with dummy metal included on M2 are used to provide a copper density

5 556 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 of approximately 55%. Therefore, a dielectric thickness of 7 μm is expected after lamination and cure resulting in a blind microvia aspect ratio less than one. A blind microvia diameter of 8 μm is based on an excimer laser drill process described in subsequent sections. The top metal layer M1 is implemented as a routing layer using a nonsolder mask defined (NSMD) solder resist opening (SRO). In addition to the fine-line routing layer on M2, routing on the top metal layer is beneficial in reducing layer count and interposer cost where typical 2.5-D implementations limit the top metal layer to copper pillar for fine-pitch chip-level assembly. C. Designs for Test Multiple points for tests are required during TV fabrication to identify yield limiting processes. Due to the aggressive SAP and microvia stack-up design rules, test structures to determine individualprocess yields for 6-μm pitch SAP and 40-μm pitch microvia laser ablation are included in the TV design. Fine-pitch SAP test structures shown in Fig. 6(a) are a unique interdigitated structure mimicking a die-to-die interconnect fanout. Open and short testing is used to determine if die escape and fanout lines are shorted. Variations for this test structure include the number of die rows escaped, and it varies from one die row up to six die rows. Given the design rules for M2, four lines are escaped per row at 40-μm pitch, and a maximum of 24 die-to-die interconnects is assessed in a single test structure. Test points to analyze microvia ablation are shown in Fig. 6(b). Two-point probe tests are performed on microvias near the die corners after completing M1 SAP to determine if proper interconnection is made between the top routing layer and fine-line routing layer. Fully integrated 2.5-D routing test structures are shown in Fig. 6(c). Two variations on these fully integrated test structures are included to decouple dependence of chip-level assembly. Four-point probe tests at 1a-1b as well as 3a-3b are used to determine the yield of fine-pitch RDL and assembly. Test points 2a-2b bypass assembly yield to analyze the integration of fine lines and fine-pitch microvias. The TV design also includes assembly test structures decoupled from finepitch RDL processes to critically examine 2.5-D TCB yield. III. FABRICATION All TV samples are fabricated using a double-side process flow on mm 3 glass panels. This section describes a low-cost process scalable from 150 to greater than 500 mm panel size to achieve high-density RDLs at 40-μm bump pitch and below. Yield critical technologies included in the proposed panel-scalable process are then analyzed based on the aforementioned DFT structures. Contributing factors to reducing the fabrication costs in addition to potential economies of scale include the use of high-throughput packaging processes, low-temperature dry film polymers for build-up dielectrics, and high routing density to reduce layer count. A. Panel-Scalable Process Flow The process flow used to fabricate the 2.5-D glass interposer TV is summarized in Fig. 7. The first step in fabricating the Fig. 6. Test structures to assess yield of critical fabrication processes including (a) fine-line SAP by a unique comb structure that mimics a dieto-die escape routing structure, (b) fine-pitch microvia ablation, and (c) fully integrated 2.5-D routing test structures including fine-line SAP + fine-pitch microvia + fine-pitch chip-level assembly. fine-line routing layer is vacuum lamination of a dry thin-film interlayer dielectric (ILD) with inherent low surface roughness onto the glass panel, which has been treated with a silane layer to increase polymer adhesion. In doing so, the high degree of coplanarity and local surface roughness required for fine-line lithography is achieved. This is followed by the deposition of a seed layer. Seed layer deposition techniques employed

6 SAWYER et al.: DESIGN AND DEMONSTRATION OF A 2.5-D GLASS INTERPOSER BGA PACKAGE 557 Fig. 8. SAP fabrication results using an electroless copper seed layer after (a) dry film resist lamination, (b) electrolytic plating and resist strip, and seed layer etch. (c) Top view of panel. (d) Coupon cross section. Note that observations of discontinuous lines were required as a part of the DFT structures and do not indicate processing errors. Fig. 7. Panel-scalable process flow to fabricate a low-cost 2.5-D glass interposer. Fabrication processes (b) (e) detail a SAP with a novel seed layer etch required for high line density on M2. A similar SAP is repeated in process (g) to complete M1. include an electroless copper wet plating process and a Ti Cu physical vapor deposition (PVD), where an electroless process is preferred due to its direct compatibility with a double-side process flow. After seed layer deposition, fine-line SAP is performed. A dry thin-film photoresist is laminated and patterned using i-line projection lithography. The projection lithography system affords a circular exposure field at a diameter of approximately 100 mm with a mm2 high-resolution area with no demagnification. Fine-pitch RDL patterns are restricted to this high-resolution area in the panel layout. After lithography, electrolytic plating is used to form high-density traces followed by stripping of the resist layer. In addition to low surface roughness, seed layer etching is critical to realize a 6-μm pitch RDL. To improve fine-line yield, a differential spray etching process is used to remove the seed layer. Finepitch microvias are used to interconnect the top routing layer with the high-density layer. These microvias are formed by a panel-scalable excimer laser scan ablation technique following vacuum lamination of the second ILD (ILD1) using similar conditions as ILD0. To improve coplanarity and top routing layer yield, a hot press is implemented to planarize ILD1. The top routing layer is formed after via ablation using similar SAP conditions as the high-density routing layer. As a part of this SAP, electrolytic plating is used to fully fill the microvias. This is achieved by maintaining a blind microvia aspect ratio of less than one. Electrolytic plating optimization was performed to ensure dimpling and/or dishing does not occur in the via capture pad on M1. The glass interposer panel fabrication is completed after NSMD passivation and electroless nickel immersion gold (ENIG) surface finish. Using a high-resolution photoimageable thin-film dielectric enabled passivation of the fine-pitch substrate pads to prepare for chip-level TCB. B. Fine-Line SAP Fine-pitch RDL fabrication results using an electroless seed layer deposition SAP are summarized in Fig. 8. Mask compensation of μm was used to improve line shape after seed layer etch. Therefore, two mask variations to realize a 6-μm pitch RDL at 3-μm line were used including 4-μm line at 2-μm space and 3.5-μm line at 2.5-μm space. Mask compensation increases risks of resist collapse and/or delamination after development. Lithography results shown in Fig. 8(a) after resist development and plasma descum indicate no collapse or delamination in the photoresist layer. After electrolytic plating to form RDL traces, processing challenges exist when stripping the resist layer. This is due to the high 1.33 aspect ratio of the fine-pitch traces afforded using SAP and desired for reduced interconnect parasitics. Fine-pitch RDL after resist stripping is shown in Fig. 8(b) with no indication of resist residue. The final step in SAP is seed

7 558 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Fig. 9. Fine-line SAP fabrication yield comparison using an electroless copper versus a sputtered Ti Cu seed layer. Test structure nomenclature on the horizontal axis indicates that all test structures included are substrate-only fine-line structures (i.e., SUB-NA-FL ). The first digit indicates test structure location on the coupon. The last two digits indicate the number of fine lines included in the die-escape comb structure (e.g., 02 indicates there are two die rows escaped where there are four lines escaped per row, or a total of eight lines). layer etching. While process steps to maintain 3-μm linewidth were taken including mask compensation and differential spray etch, 0.2-μm line narrowing is observed as indicated by the results in Fig. 8(c) and (d). Fine-line yield data shown in Fig. 9 indicate that seed layer etching proves to be the critical process step. With increasing line density, fine-line yield shows a distinctive rolloff from 0.90 to 0.60 when the number of interconnects increased from 12 to 16 traces in the test structure. Underetching was determined to be the root cause of failure upon panel inspection, which showed residual seed layer in high-density routing areas. This residual seed layer is due to copper anchoring during electroless copper plating. Panel cross sections reveal submicrometer anchors in the seed layer as shown in Fig. 8(d). These anchors are a result of a desmear step used as a part of the electroless deposition process. Desmear was shown to increase polymer surface roughness up to R z = 1.0 μm. Therefore, to remove anchors in the seed layer, a tradeoff in etching time exists. Complete removal of the seed layer results in overetching of fine lines. On the other hand, when the etching time is reduced, inadequate seed layer removal results in fineline shorting and in turn reduced yield. Modifying fine-line SAP to use a Ti Cu PVD seed layer improves yield as shown in Fig. 9. Titanium acts as an adhesion layer to the ILD, mitigating the need for desmear. As a result, filler materials are not removed from the underlying ILD, and low surface roughness necessary for fine-line yield is maintained. For each variation in fine-line test structures, a PVD seed SAP resulted in higher yield above 0.80 compared to an electroless seed SAP. C. Microvia Excimer Laser Ablation and Top Metallization Microvia fabrication was achieved using a XeCl excimer laser (λ = 308 nm) scan ablation process. To increase process throughput when scaling to large panel processing, a scan ablation technique is employed instead of a point-to-point laser ablation. The scan ablation method utilizes a quartz mask with sputtered aluminum openings to simultaneously ablate microvias over the entire mm 2 coupon area, which included a total of vias (7520 at 40-μm inline pitch and 7184 at 150-μm inline pitch). Optical inspection and profilometry data were used to confirm via opening and via side-wall angle greater than 80. As described earlier, a challenge in implementing a low-cost 2.5-D interposer technology is minimizing microvia capture pad size in order to increase interconnect density. Fig. 10(a) demonstrates the overlay accuracy achieved using the scan ablation process. The alignment process marker in the cutout of Fig. 10(a) represents five capture pad diameters ranging from18downto10μm. This process marker was included in the corner of each coupon and was used to confirm less than 2-μm overlay accuracy without alignment compensation during via ablation. When plating the top routing layer M1, fully filling the microvia without significant dimpling or dishing was considered critical for via-in-pad assembly yield. In scaling the RDL technologies included in the fabrication of this TV to multiple fine-line layers, fully filled via plating is critical to enable stacked microvia and further increase interconnect densities. Fig. 10(b) and (c) shows optical profilometry data obtained after M1 plating. Fig. 10(c) shows a micrograph of two capture pads included in one of the microvia DFT structures. Fig. 10(b) shows the two respective pad profiles indicating submicrometer topography at the top of the pad. Therefore, plating conditions are sufficient for via-in-pad assembly. Using DFT structures shown in Fig. 6(b), microvia yield was determined. Since a two-point probe method was used, a pass condition for any given DFT structure is considered R < 5. Increased resistance is expected due to parasitic trace and contact resistance. An average panel yield of 0.88 was observed based on this test condition. IV. ASSEMBLY Sequential pick-and-place thermocompression bond with copper pillar and a post-applied capillary underfill is used for 2.5-D chip-level assembly at 56-μm bump pitch and 100-μm die spacing. The assembled die is mm 3 with a four-row 80-/40-μm staggered pin-out at the die periphery and a 150-μm full array interior pin-out (5456 total bumps). On-chip copper RDL patterns are intended for electrical daisy chain connectivity tests only. The bump stack-up is 17/3/16 μm Cu/Ni/SnAg with a 28-μm bump diameter. The following describes the 2.5-D panel-level assembly process and results on 100- and 300-μm thick glass panels. A. 2.5-D Chip-Level Assembly After completing glass interposer panel fabrication as shown in Fig. 7, bonding areas are treated using an acetone and isopropyl alcohol (IPA) clean, and a prebake is applied. Directly prior to die assembly, a no-clean flux is applied at the bonding site, and the panel is placed on the assembly

8 SAWYER et al.: DESIGN AND DEMONSTRATION OF A 2.5-D GLASS INTERPOSER BGA PACKAGE 559 Fig. 11. (a) and (b) Sequential pick-and-place TCB of 10 mm 10 mm die at 100-μm die gap followed by (c) dual-dispense capillary underfill and (d) time zero electrical open and short testing. Fig. 10. (a) Representative blind microvia drill alignment across panel, (b) optical profilometry data after SAP2, and (c) substrate pads for chip-level assembly and course escape routing structures on M1 layer. stage, which is kept at T = 70 C in stand-by. Chiplevel assembly is then carried out according to the process flow shown in Fig. 11. The first die is picked and placed using a mm 2 flat tool head, and stage temperature is increased to T = 120 C directly prior to and during bonding. Thermocompression bond of the first die is carried out where the tool head temperature is ramped at 6 K/s to T = 390 C. After a t = 3-s nominal dwell time, the tool head is cooled to T = 70 C at 6 K/s. A constant bond force of F = 6 N is applied throughout the heating profile. The second die is assembled using similar TCB process conditions at a 100-μm die gap. This is a nominal die gap distance as die alignment and electrical die-to-die interconnect length is ultimately determined using complementary fiducials on the coupon and die. Die assembly is checked prior to underfill dispense using test structures routed on M1 and die RDL layers only. After TCB is confirmed by open/short testing, bonding areas are treated using an acetone and IPA clean. The assembled panel is then placed on a hot plate at T = 90 C prior to underfill dispense. Underfill dispense volume is optimized to prevent overburden in the die gap. Also, to mitigate the risk of underfill voiding, a dual-point dispense technique shown in Fig. 11(c) is used where a dot underfill dispense is applied at opposing die edges. Die assembly is completed after a postcure at T = 165 C for t = 1.5 h.

9 560 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Fig. 13. Completed 2.5-D glass interposer panel after fine-pitch TCB chiplevel assembly depicting fine-line die-to-die daisy chain test structure yield map. Yield map shows average yield based on coupon location. Fig D chip-level assembly results at 56-μm pitch (80-/40-μm pinout) with cross-sectional views. (a) Chip-level assembly viewing die gap. (b) Chip-level assembly viewing fine-line routing layer. (c) Via-in-pad die assembly detail. B. Demonstration of 2.5-D Chip-level Assembly on Glass Interposer Fine-pitch 2.5-D assembly on a 100- and 300-μm thick glass interposer with a high-density RDL fabricated using lowcost processes was demonstrated. Fig. 12(a) and (b) shows assembled coupon cross sections where Fig. 12(a) is looking from the coupon edge toward the 2.5-D die gap and Fig. 12(b) is viewing inward from the die gap toward the high-density routing layer. Inspecting the 2.5-D die gap indicates that the postapplied capillary underfill flows into the gap without overburden. Furthermore, the detailed micrograph of the finepitch solder joint in Fig. 12(c) indicates that a good chip-level interconnect is formed with minor solder depletion. This solder depletion is due to ENIG overplating, which is a result of palladium poisoning of ILD during M1 SAP. Line narrowing of the high-density traces is observed in Fig. 12(b) similar to the results observed after fine-line SAP in Fig. 8(d). Detailed cross sections confirm high-accuracy microvia ablation and fully filled via plating with no apparent dimpling or dishing. After completing panel-level 2.5-D assembly, time zero electrical yield was measured across three panels. The test sample size included: 1) one 300-μm-thick panel fully populated with a total of eight assembled coupons; 2) one 300-μm-thick panel partially populated with a total of seven assembled coupons; and 3) one 100-μm-thick quarter-panel with two assembled coupons. Two test structure variations were analyzed including: 1) die corner daisy chain (two structures per coupon) and 2) fine-line die-to-die daisy chain (four structures per coupon). Test structure 1) includes routing on M1 and die RDL only and is used to assess TCB yield. Test structure 2) is representative of a die-to-die interconnect and assesses integration of the advanced interposer fabrication and assembly processes described. The pass condition for each test structure was a daisy chain resistance R < 50. An elevated pass condition is used based on coupon cross sections indicating solder depletion and line narrowing, which may increase chip-level interconnection resistance. One coupon on panel 2) exhibited 1.00 yield for all test structures considered, indicating successful demonstration of fine-pitch RDL and chip-level assembly for 2.5-D die integration on a low-cost glass interposer. The average yield of test structure 1) for the 17 coupons tested was indicating good 2.5-D TCB despite solder depletion. Test structure 2) results are summarized in Fig. 13 by average yield based on coupon position. Panels considered for the reported time zero yield analysis used an electroless SAP. Therefore, overetching of interconnects during seed layer removal on M2 as indicated in Fig. 9 is considered the yield limiting process. V. CONCLUSION In this paper, the design and demonstration of a 2.5-D glass interposer BGA package TV capable of meeting all

10 SAWYER et al.: DESIGN AND DEMONSTRATION OF A 2.5-D GLASS INTERPOSER BGA PACKAGE 561 system requirements to maximize bandwidth per unit watt signal power per unit dollar cost is described. The focus of this paper is the analysis of advanced SAP and chip-level assembly processes to provide interconnect density comparable to silicon at shortest interconnect length using the following: 1) low-cost double-side SAP to fabricate a 6-μm pitch RDL; 2) panel-scalable excimer laser scan ablation to fabricate RDL via at 40-μm inline pitch; 3) panel-level TCB 2.5-D die assembly at 56-μm bump pitch and 100-μm die gap. Routing studies performed show that these RDL processes are capable of achieving a line density δ = 100 lines/mm. Up to die-to-die interconnections can be achieved at this line density and bus width w = 25 mm using the same number of signal routing layers as silicon. Specific RDL structures were designed for tests during the double-side fabrication process. Panel-level tests indicated that the removal of the electroless copper seed layer during SAP is critical to fine-line yield. Optimizing SAP etch time or implementing a PVD SAP was shown to improve 6-μm pitch RDL yield beyond Tests also indicated that fine-pitch microvias were fabricated at less than 2-μm overlay accuracy without drill alignment compensation, and demonstrated the microvia stack-up can be scaled further to increase routing density. Furthermore, electrolytic plating of microvias demonstrated fully filled via capable of via-in-pad assembly, and stacked via when scaling the RDL technologies discussed to multilayer high-density routing. Thermocompression bond 2.5-D chip-level assembly was performed on 100- and 300-μm-thick glass at high yield above 0.80 on multiple panels. Failure analysis using cross section revealed that solder depletion due to ENIG overplating. Chip-level assembly can be improved with optimized palladium strip after SAP. Fully integrated fine-pitch RDL and 2.5-D chip-level assembly tests indicated up to 1.00 yield, and thus demonstrates a low-cost 2.5-D glass interposer to achieve interconnect density comparable to silicon. Analysis of each of the aforementioned glass interposer technologies using DFT structures indicates that seed layer etching optimization during fine-line SAP was critical to increase high-density interconnect yield. Therefore, the 2.5-D glass interposer BGA package described in this paper has great potential to provide the dieto-die bandwidth required in next generation HPC systems at a maximum BWF. ACKNOWLEDGMENT The research results described are a part of industry consortium program at Georgia Tech Packaging Research Center. The authors would like to thank all the consortium member companies and supply chain partners in supporting this research effort. REFERENCES [1] B. Bottoms, Maintaining the pace of progress as we approach the end of Moore s law: New materials, new processes, new architectures, in Proc. Int. Symp. Microelectron., 2015, pp. S1 S57. [2] K. Saban, Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency, Xilinx, San Jose, CA, USA, White Paper WP380 (v1.2), [3] N. Kim, C. Shin, D. Wu, J. Kim, and P. Wu, Performance analysis and optimization for silicon interposer with through silicon via (TSV), in Proc. Conf. SOI, Oct. 2012, pp [4] K. Oi et al., Development of new 2.5D package with novel integrated organic interposer substrate with ultra-fine wiring and high density bumps, in Proc. Electron. Compon. Technol. Conf. (ECTC), May 2014, pp [5] M. Ishida, APX (Advanced Package X) Advanced organic technology for 2.5D interposer, presented at the Electron. Compon. Technol. Conf. (ECTC), Orlando, FL, USA, May 2014, pp [6] M. Deo, Enabling next-generation platforms using Altera s 3D system-in-package technology, Altera, San Jose, CA, USA, Tech. Rep. WP , [7] D. C. Hu, Y. P. Hung, Y. H. Chen, R. M. Tain, and W. C. Lo, Embedded glass interposer for heterogeneous multi-chip integration, in Proc. Electron. Compon. Technol. Conf., May 2015, pp [8] V. Sukumaran et al., Design, fabrication, and characterization of ultrathin 3-D glass interposers with through-package-vias at same pitch as TSVs in silicon, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 5, pp , May [9] Q. Xian, P. M. Raj, V. Smet, and R. Tummala, Direct SMT interconnections of large low-cte interposers to printed wiring board using copper microwire arrays, IEEE Trans. Compon., Packag., Manuf. Technol., vol. 5, no. 11, pp , Nov Brett M. D. Sawyer received the B.S. degree in electrical engineering from Old Dominion University, Norfolk, VA, USA, in 2011, and the M.S. degree from the Georgia Institute of Technology, Atlanta, GA, USA, in 2014, where he is currently pursuing the Ph.D. degree in electrical engineering. He joined the 3-D Systems Packaging Research Center, Georgia Institute of Technology, in This work has applications mainly in high performance computing modules including wide I/O logic-memory and 400 GbE CDFP to provide cloud services to mobile devices. His current research interests include the design and demonstration of a 2.5-D glass interposer package to achieve 1-TB/s dieto-die and 400-Gb/s off-interposer bandwidths. Yuya Suzuki received the B.S. and M.S. degrees in applied chemistry from the University of Tokyo, Tokyo, Japan, in 2005 and 2007, respectively. He is currently pursuing the Ph.D. degree with the Department of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA, USA. He joined Zeon Corporation, Tokyo, in 2007, as a Research Engineer. He is currently with the 3-D Packaging Research Center, Georgia Institute of Technology. His current research interests include the development of glass interposer and passive embedded RF module using low loss polymer material, and polymer synthesis, polymer processing, and organic-inorganic hybrid materials. Ryuta Furuya received the B.S. and M.S. degrees in physics from the University of Tokyo, Tokyo, Japan, in 2010 and 2012, respectively, with a focus on star formation processes. He joined USHIO Inc., Yokohama, Japan, in 2012, and is currently with the 3-D Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA, as a Visiting Engineer. His current research interests include the development of projection lithography process for large-scale panel-based interposer technology, and the projection lithography optics, chip-to-chip optical interconnect, and energy harvesting.

11 562 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 4, APRIL 2017 Chandrasekharan Nair received the bachelor s degree in polymer engineering and technology from the Institute of Chemical Technology, Mumbai, India, in 2013, with a minor in chemical engineering. He is currently pursuing the Ph.D. degree in materials science and engineering with the 3-D Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA, under the guidance of Prof.R.Tummala. As a Graduate Research Assistant in the Low Cost Glass Interposer Program, he was involved in the reliability evaluation of novel polymer dielectric materials and processes to build next-generation redistribution layer for panel scale interposers/high density packages. His current research interests include polymer-metal interfaces, package substrate reliability studies, and polymer characterization. Ting-Chia Huang received the master s degree in material science and engineering from National Taiwan University, Taipei, Taiwan, in 2012, with a focus on solder interfacial reaction and electromigration. He is currently pursuing the Ph.D. degree with the 3-D Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA, conducted by Prof. R. Tummala. As a Graduate Research Assistant in Interconnections and Assembly Program, he was involved in the development of off-chip interconnections with thermocompression bonding for fine pitch and high reliability, including the scopes of solder-capped microbumps, solder-liquid interdiffusion (SLID) bonding, preapplied underfill process development, and finite element analysis for stress management. He is also the first inventor of the patent Metastable Interconnections Structure for ultrafast SLID bonding. His current research interests include metallurgical reactions, packaging reliability, and finite-element modeling. Kadappan Panayappan received the bachelor s degree from Anna University, Chennai, India, in 2006, the master s degree from IIT Kahragpur, Kahragpur, India, in 2008, and the Ph.D. degree from The Pennsylvania State University, State College, PA, USA, in He is a Research Engineer with the 3-D Packaging Research Center, Georgia Institute of Technology, Atlanta, GA, USA, focusing on electrical design. His current research interests include signal and power integrity, power delivery networks, antennas, highspeed interconnects, and computational electromagnetics. Venky Sundaram received the B.S. degree from the Indian Institute of Technology (IIT) Mumbai, Mumbai, India, and the M.S. and Ph.D. degrees in materials science and engineering from the Georgia Institute of Technology, Atlanta, GA, USA. He is the Director of Research and Industry Relations with the 3-D Systems Packaging Research Center, Georgia Institute of Technology. He is the Program Director for the Silicon and Glass Interposer industry consortium with more than 25 active global industry members. He is a globally recognized expert in packaging technology and a Co-Founder of Jacket Micro Devices, an RF/wireless startup acquired by AVX. He has authored more than 100 publications and holds more than 15 U.S. patents. His current research interests include system-on-package technology, 3-D packaging and integration, ultrahigh-density interposers, embedded components, and systems integration research. Dr. Sundaram was a recipient of several best paper awards. He is the Co-Chairman of the IEEE Components, Packaging, and Manufacturing Technology Technical Committee on High Density Substrates and is on the Executive Council of International Microelectronics and Packaging Societies as the Director of Education Programs. Vanessa Smet received the B.S. and M.S. degrees in applied physics from the École normale supérieure Paris-Saclay, Cachan, France, and the University of Paris XI, Orsay, France, and the Ph.D. degree in electronics from the University of Montpellier 2, Montpellier, France, in 2010, focusing on power cycling reliability of IGBT power modules in harsh environment. She was a Post-Doctoral Researcher with the Tyndall National Institute, Cork, Ireland, where she was involved in novel high-temperature high-power die-attach solutions for power switches with Ag-CNTs composites and microbga assembly. She is currently an Associate Research Professor and the Program Manager for the Interconnections and Assembly Industry Program with the 3-D Systems Packaging Research Center (PRC), Georgia Institute of Technology, Atlanta, GA, USA. At PRC, she provides leadership to develop and demonstrate new interconnection and assembly technologies beyond solders for advanced 2.5-D and 3-D packaging, as well as highpower applications, with a focus on manufacturability and technology transfer to industry. She has coauthored over 30 journal and conference publications and two patents with others pending. Her current research interests include power electronics, finite element modeling, 3-D integration, interconnection and assembly processes, SLID / TLP bonding, all-cu interconnections, lowtemperature bonding, and reliability. Rao Tummala (M 88 SM 90 F 93 LF 16) received the B.S. degree from the Indian Institute of Science, Mumbai, India, and the Ph.D. degree from the University of Illinois at Urbana Champaign, Urbana, IL, USA. He is a Distinguished and Endowed Chair Professor and a Founding Director of NSF ERC with the Georgia Institute of Technology (Georgia Tech), Atlanta, GA, USA, pioneering Moore s Law for System Integration. Prior to joining Georgia Tech, he was an IBM Fellow, pioneering the first plasma display and multichip electronics for mainframes and servers. He has authored about 500 technical papers, holds 74 U.S. patents, and inventions. He has also authored the first modern Microelectronics Packaging Handbook, the first undergraduate textbook Fundamentals of Microsystems Packaging, and the first book introducing the system-on-package technology. Prof. Tummala was a recipient of many industry, academic, and professional society awards, including Industry Week s Award for improving U.S. competitiveness, the IEEE s David Sarnoff, the IMAPS Dan Hughes, the Engineering Materials from ASM, and the Total Excellence in Manufacturing from SME. He is also a recipient of the Distinguished Alumni Awards from the University of Illinois at Urbana Champaign, the Indian Institute of Science, and Georgia Tech. He was the President of the IEEE Components, Packaging, and Manufacturing Technology and the International Microelectronics and Packaging Societies and is a member of the National Academy of Engineering. In 2011, he received the Technovisionary Award from the Indian Semiconductor Association and the IEEE Field Award for contributions in electronics systems integration and cross-disciplinary education.

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

2016 IEEE 66th Electronic Components and Technology Conference

2016 IEEE 66th Electronic Components and Technology Conference 2016 IEEE 66th Electronic Components and Technology Conference Next Generation Panel-Scale RDL with Ultra Small Photo Vias and Ultra-fine Embedded Trenches for Low Cost 2.5D Interposers and High Density

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Jialing Tong, Venky Sundaram, Aric Shorey +, and Rao Tummala 3D Systems Packaging Research Center Georgia Institute of Technology,

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Overcoming the Challenges of HDI Design

Overcoming the Challenges of HDI Design ALTIUMLIVE 2018: Overcoming the Challenges of HDI Design Susy Webb Design Science Sr PCB Designer San Diego Oct, 2018 1 Challenges HDI Challenges Building the uvia structures The cost of HDI (types) boards

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS Yin-Po Hung, Tao-Chih Chang, Ching-Kuan Lee, Yuan-Chang Lee, Jing-Yao Chang, Chao-Kai Hsu, Shu-Man Li, Jui-Hsiung Huang, Fang-Jun

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 Miniaturized Bandpass Filters as Ultrathin 3-D IPDs and Embedded Thinfilms in 3-D Glass Modules Srikrishna Sitaraman, Vijay Sukumaran,

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Michael R. Creeden CEO/CID+ San Diego PCB, Inc. & EPTAC (858)

Michael R. Creeden CEO/CID+ San Diego PCB, Inc. & EPTAC (858) Michael R. Creeden CEO/CID+ San Diego PCB, Inc. & EPTAC mike.creeden@sdpcb.com (858)271-5722 1. Why we collaborate? 2. When do we collaborate? 3. Who do we collaborate with? 4. What do we collaborate?

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI)

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) 2017 IEEE 67th Electronic Components and Technology Conference Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI) F.X. Che*, M. Kawano, M.Z. Ding, Y. Han,

More information

High efficient heat dissipation on printed circuit boards

High efficient heat dissipation on printed circuit boards High efficient heat dissipation on printed circuit boards Figure 1: Heat flux in a PCB Markus Wille Schoeller Electronics Systems GmbH www.schoeller-electronics.com Abstract This paper describes various

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques Sheng Liu and I. Charles Ume* School of Mechanical Engineering Georgia Institute of Technology Atlanta, Georgia 3332 (44) 894-7411(P)

More information

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers Jialing Tong *, Yoichiro Sato +, Shintaro Takahashi +, Nobuhiko Imajyo +, Andrew

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

New Approaches to Develop a Scalable 3D IC Assembly Method

New Approaches to Develop a Scalable 3D IC Assembly Method New Approaches to Develop a Scalable 3D IC Assembly Method Charles G. Woychik Ph.D. Sangil Lee, Ph.D., Scott McGrath, Eric Tosaya and Sitaram Arkalgud Ph.D. Invensas Corporation 3025 Orchard Parkway San

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate

10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate 10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate Ming-Che Hsieh, Chi-Yuan Chen*, Ian Hsu*, Stanley Lin* and KeonTaek Kang** Product and Technology Marketing / STATS ChipPAC Pte. Ltd.

More information

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Hugo Pristauz & Andreas Mayr, Besi Austria presented by: Stefan Behler, Besi Switzerland ECTC 2018

More information

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct 18-20 2016, IWLPC 1 Outline Laminate to Fan-Out

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC Presented By: Dale Lee E-mail: Dale.Lee@Plexus.Com April 2013 High Layer Counts Wide Range Of Component Package

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Glass: Enabling Next-Generation, Higher Performance Solutions Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Forward Looking And Cautionary Statements Certain statements in this presentation

More information

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical 286 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 26, NO. 2, JANUARY 15, 2008 Design and Fabrication of Sidewalls-Extended Electrode Configuration for Ridged Lithium Niobate Electrooptical Modulator Yi-Kuei Wu,

More information

Ultra-thin Die Characterization for Stack-die Packaging

Ultra-thin Die Characterization for Stack-die Packaging Ultra-thin Die Characterization for Stack-die Packaging Wei Sun, W.H. Zhu, F.X. Che, C.K. Wang, Anthony Y.S. Sun and H.B. Tan United Test & Assembly Center Ltd (UTAC) Packaging Analysis & Design Center

More information

Figure 1. FCBGA and fccsp Packages

Figure 1. FCBGA and fccsp Packages Packaging Technology and Design Challenge for Fine Pitch Micro-Bump Cu-Pillar and BOT (Direct Bond on Substrate-Trace) Using TCNCP (Thermal Compression with Non-Conductive Paste Underfill) Method *MJ (Myung-June)

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

Advanced Embedded Packaging for Power Devices

Advanced Embedded Packaging for Power Devices 2017 IEEE 67th Electronic Components and Technology Conference Advanced Embedded Packaging for Power Devices Naoki Hayashi, Miki Nakashima, Hiroshi Demachi, Shingo Nakamura, Tomoshige Chikai, Yukari Imaizumi,

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

Design Guide for High-Speed Controlled Impedance Circuit Boards

Design Guide for High-Speed Controlled Impedance Circuit Boards IPC-2141A ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Design Guide for High-Speed Controlled Impedance Circuit Boards Developed by the IPC Controlled Impedance Task Group (D-21c) of the High Speed/High

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

CHAPTER 11: Testing, Assembly, and Packaging

CHAPTER 11: Testing, Assembly, and Packaging Chapter 11 1 CHAPTER 11: Testing, Assembly, and Packaging The previous chapters focus on the fabrication of devices in silicon or the frontend technology. Hundreds of chips can be built on a single wafer,

More information

2D to 3d architectures: back to the future

2D to 3d architectures: back to the future 2D to 3d architectures: back to the future Raja Swaminathan Package architect Intel Corporation 2018 IMAPS Device Packaging Keynote, 03/06/2018 acknowledgements Ravi Mahajan, Ram Viswanath, Bob Sankman,

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding

Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding MJ (Myung-June) Lee 1, Chew Ching Lim 2, Pheak Ti Teh 2 1: Altera Corporation,

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

THE continuous increase of data-intensive smart mobile

THE continuous increase of data-intensive smart mobile IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 1, JANUARY 2016 87 Design and Demonstration of Power Delivery Networks With Effective Resonance Suppression in Double-Sided

More information

BGA (Ball Grid Array)

BGA (Ball Grid Array) BGA (Ball Grid Array) National Semiconductor Application Note 1126 November 2002 Table of Contents Introduction... 2 Package Overview... 3 PBGA (PLASTIC BGA) CONSTRUCTION... 3 TE-PBGA (THERMALLY ENHANCED

More information

True Three-Dimensional Interconnections

True Three-Dimensional Interconnections True Three-Dimensional Interconnections Satoshi Yamamoto, 1 Hiroyuki Wakioka, 1 Osamu Nukaga, 1 Takanao Suzuki, 2 and Tatsuo Suemasu 1 As one of the next-generation through-hole interconnection (THI) technologies,

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS

APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Keywords: OLGA, SMT, PCB design APPLICATION NOTE 6381 ORGANIC LAND GRID ARRAY (OLGA) AND ITS APPLICATIONS Abstract: This application note discusses Maxim Integrated s OLGA and provides the PCB design and

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES

BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES Ahmer Syed 1, Sundar Sethuraman 2, WonJoon Kang 1, Gary Hamming 1, YeonHo Choi 1 1 Amkor Technology, Inc.

More information

IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES

IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES As originally published in the SMTA Proceedings. IMPROVED SMT AND BLR OF 0.35MM PITCH WAFER LEVEL PACKAGES Brian Roggeman and Beth Keser Qualcomm Technologies, Inc. San Diego, CA, USA roggeman@qti.qualcomm.com

More information

Advances in stacked-die packaging

Advances in stacked-die packaging pg.10-15-carson-art 16/6/03 4:12 pm Page 1 The stacking of die within IC packages, primarily Chip Scale Packages (CSP) Ball Grid Arrays (BGAs) has evolved rapidly over the last few years. The now standard

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

Co Capping Layers for Cu/Low-k Interconnects

Co Capping Layers for Cu/Low-k Interconnects IBM Research Co Capping Layers for /Low-k Interconnects Chih-Chao Yang IBM ChihChao@us.ibm.com Co-Authors: International Business Machines Corp. P. Flaitz, B. Li, F. Chen, C. Christiansen, and D. Edelstein

More information

FLIP CHIP LED SOLDER ASSEMBLY

FLIP CHIP LED SOLDER ASSEMBLY As originally published in the SMTA Proceedings FLIP CHIP LED SOLDER ASSEMBLY Gyan Dutt, Srinath Himanshu, Nicholas Herrick, Amit Patel and Ranjit Pandher, Ph.D. Alpha Assembly Solutions South Plainfield,

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

SOLDERABLE ANISOTROPIC CONDUCTIVE ADHESIVES FOR 3D PACKAGE APPLICATIONS

SOLDERABLE ANISOTROPIC CONDUCTIVE ADHESIVES FOR 3D PACKAGE APPLICATIONS SOLDERABLE ANISOTROPIC CONDUCTIVE ADHESIVES FOR 3D PACKAGE APPLICATIONS ABSTRACT: Dr. Mary Liu and Dr. Wusheng Yin YINCAE Advanced Materials, LLC Albany, NY 3D packaging has recently become very attractive

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

TCLAD: TOOLS FOR AN OPTIMAL DESIGN

TCLAD: TOOLS FOR AN OPTIMAL DESIGN TCLAD: TOOLS FOR AN OPTIMAL DESIGN THINGS TO CONSIDER WHEN DESIGNING CIRCUITS Many factors come into play in circuit design with respect to etching, surface finishing and mechanical fabrication processes;

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Nithya Sankaran,Venkatesh Chelukka Ramdas +, Baik-Woo Lee, Venky Sundaram,

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Interposer MATED HEIGHT

Interposer MATED HEIGHT Product Specification: FEATURES High Performance PCBeam Connector Technology Product options at 1.27mm, 1.0mm, and 0.8mm pitch Maximized pin count per form factor 3 form factor sizes available Standard

More information