Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Size: px
Start display at page:

Download "Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems"

Transcription

1 Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi Wan, Devarajan Balaraman, Swapan Bhattacharya Packaging Research Center, Georgia Institute of Technology Atlanta, USA Abstract Embedded passives are gaining in importance due to the reduction in size of consumer electronic products. Among the passives, capacitors pose the biggest challenge due to the large capacitance required for decoupling high performance circuits. This paper focuses on the characterization and modeling of embedded capacitors. Design and modeling of embedded capacitor networks for decoupling semiconductor systems in the mid-frequency band (100 MHz to GHz) will be highlighted in this paper. Keywords-Embedded capacitors, modeling, characterization midband frequency, decoupling. I. INTRODUCTION Embedded passives are gradually replacing discrete passives due to the miniaturization of electronic products. Integration of these passives within the package increases the real estate for active components. This would increase the functionality of the system. Capacitors pose the biggest challenge for integration due to the high value of capacitances required for decoupling semiconductor systems. Decoupling in today s systems is achieved by using discrete surface mount capacitors. The effectiveness of these capacitors is reduced beyond 100 MHz because of the high inductances associated with the leads of the capacitors. Embedded capacitors overcome this drawback because of the low inductances and high capacitance associated with them. Embedded capacitor performance in boards and power ground planes in packages has been investigated in [1,]. This paper will highlight the performance of discrete thin film embedded capacitor s in packages to provide decoupling in the mid frequency bandwidth of 100MHz to GHz in order to meet the required target impedance for future processors. This paper is organized as follows- Section deals with the processor requirements in terms of power dissipation, core voltage, current and target impedance for the 65nm technology node. The modeling and characterization process of the embedded capacitors along with the package requirements is described in Section 3. II. PROCESSOR REQUIREMENTS Microprocessor power densities have grown over the technology nodes due to the increase in the number of transistors and increase in the processor operating frequency. The major contributors to the power dissipation in the sub 100nm node are active and static power dissipation. The power dissipation for future cost performance processors is expected to be close to 450W by the end of the decade. Such high value of power dissipation would not be acceptable for single chip package solutions. A method of reducing the power dissipation and improving the performance of single core processors is by using a multi-core approach [3]. A major challenge for future technology nodes is to keep the impedance of the power distribution network (PDN) as seen by the processor less than the target impedance over a frequency bandwidth. The target impedance must be met at all frequencies where current transients exist. This frequency band extends from the lower khz s to the chip operating frequency depending on the processor function. These operations could involve data transfer to and from the hard disk, data transfer to and from the DRAM or on chip processing. Due to the fast switching speed of circuits which results in sudden current demands, noise is generated that can hinder performance. A major source of this noise is due to the power distribution system of the package and the board, which if poorly designed can result in ground bounce. One methodology to reduce noise it to design the PDN by identifying a target impedance, which has to be met over a broad frequency range. The target impedance is a function of the current and the core voltage of the processor and is given by Z TARGET V 0.05 = I 50% Where V is the core voltage of the processor and I is the current used by the processor. The target impedance for a single chip package was calculated based on the power dissipation numbers for a high performance logic- cost performance processor as listed in the ITRS. The table below compares the target impedance values calculated by using the methodology in [3] for a single and multicore processor with the numbers given in the ITRS for the 65nm node. The above table lists the advantage of a multi-core processor from a power and target impedance perspective. An integral part of the PDN is the decoupling capacitors which act as reservoirs of charge () /05/$ IEEE. 638

2 TABLE 1: TARGET IMPEDANCE VALUE COMPARISON FOR 65NM NODE 007 Single core Single core Multicore (ITRS) (Analysis) (Analysis) Power 103.6W 61.78W 10W Core Voltage 0.9V 1.1V 1.1V Target 0.7 mohm 0.4mohm 1mohm impedance for the switching circuits. Based on the current demands, decoupling has to be provided in the low frequency (DC to 100MHz), mid band frequency (100MHz to GHz) and high band frequency (GHz onwards) by the proper placement of decoupling capacitors in the power distribution network. The role of embedded decoupling capacitors within a package has been highlighted in this paper. One proposed solution to provide decoupling from within a package is to use a high dielectric material between the power and ground planes of the package. There are a few limitations of this approach; the first one is a large value for the minimum series resistance (ESR). The ESR of the power ground plane may not be as low as the target impedance required by the processor. For a power ground plane pair of thickness 9um and dielectric constant 11, the ESR value is 8.1mohm, which exceeds the calculated target impedance value. The second limitation is the frequency band over which this target impedance can be met by a single power plane structure. This paper highlights a methodology that overcomes the above limitations by using discrete embedded capacitors within the package. Discrete embedded capacitors are capacitive structures that are much smaller in size as compared to the power ground plane of a package. The minimum and maximum sizes of the capacitors are decided by their process ground rules. One advantage is that a large number of these capacitors can be connected in parallel with the power ground plane of the package to reduce the power ground impedance as seen by the processor. The flexibility in size allows the capacitor network to be designed in a manner such that the resonances of the power plane and other capacitors in the package can be suppressed over a frequency range by the introduction of the proper size and value capacitor. The resulting structure is that of a capacitive array in the package that can be designed to keep the impedance of the power ground plane below the target impedance over a given frequency band. Figure 1, shows the cross section of a capacitive network. This capacitor array has levels of discrete capacitors and vias that connect the capacitor network to the power ground plane of the package. The design of capacitor networks as described in Section 3 has been done with the aim of meeting the ITRS roadmap numbers, over the mid band frequency range of 100 MHz to GHz. III. EMBEDDED CAPACITORS A. Materials and Process Details The design of individual embedded capacitors for decoupling is highly dependent on the frequency band under consideration. The most effective design would come from Figure 1. Cross section of a package with discrete capacitor layers both the electrical and materials perspective. The details of the different capacitors that were used in the design of the capacitor decoupling networks are described in this section. The characterized capacitors were thin film Barium titanate (BaTiO 3 ) capacitors, fabricated using a hydrothermal process. These capacitors were fabricated at the Packaging Research Center (PRC). The cross section details of the barium titanate capacitor are given in Figure. Figure. Cross section of thin film barium titanate capacitors In this work, nanograined ultrathin crystalline Barium Titanate thin films were synthesized on laminated copper foils using the low cost low temperature (<100 o C) hydrothermal process. Hydrothermal synthesis of BaTiO 3 involves treating Ti-coated copper clad laminates with Ba + ions in highly alkaline solution at 95 C. With this method high k thin films can be integrated into organic packages using standard Printed Wiring Board processes such as lamination and lithography. The resultant films 300 nm thick exhibited a dielectric constant close to 300, loss less than 0.06 and a capacitance density greater than 1 µf/cm. The size of the grains of the barium titanate varies from 60nm to 80nm. The top electrode is um thick copper and the bottom electrode is 1um copper with 500nm of titanium. The measurement results of a square capacitor 1mm on a side and a circular capacitor with.1mm diameter along with the methodology used in characterizing these capacitors is explained later in part B of this section. B. Characterizaton The characterization of the capacitors was done by using the methodology described in [4]. The equations used for extracting the real and imaginary part of the capacitive structure is given below /05/$ IEEE. 639

3 ( dut) ( Re( S1) ( 1 Re( S1) ) Im( S1) ) ( 1 Re( S1) ) + Im( S1) ) Im( S 1) ( 1 Re( S1) ) + Im( S 1) Re = 5 ( dut ) ( ) Im = 5 () 3 ( ) Re(dut) and Im(dut) are the real and imaginary parts of the capacitive structure respectively. Re(S1) and Im(S1) are the real and imaginary parts of the measured S parameters between port1 and port of the device under test. The above mentioned measurement procedure requires a port VNA probe station. The cross section of a capacitor with the probe placement is shown in the Figure 3. The probes used were 500um Fixed Pitch Compliant(FPC) signal-ground and ground-signal probes. The VNA used was Agilent s 870ES with a bandwidth of 50MHz to 0.5GHz. The probes were calibrated by the standard SOLT calibration method from 50MHz to 3GHz Figure 4. Measurement results of a 1mm X 1mm capacitor Figure 3. Cross section of a capacitor showing the probing methodology Figure 5. Modeled frequency response of 1mm X 1mm capacitor C. Model to hardware corelation The capacitors were modeled by using the Transmission Matrix Method [5]. The model to hardware correlation of the different capacitors is described in this section. The barium Titanate capacitor measurement using the method described above is shown in Figure 4. The measurements were done by placing the 500um pitch GS and SG probes 75um apart from each other. The initial modeling result of the same structure is shown in Figure 5. The structure resonates at 1.3GHz. The capacitance of the structure is 9nF. The equivalent series inductance (ESL) and series resistance (ESR) of the capacitor according to the modeling is 1.85pH and 16.9mohms respectively. As can be seen by comparing the initial model to the measurement, there is a large discrepancy in the results. In order to match the measurement results, the inductance associated due to the probes was extracted from the measurement set up. This was done by placing both the probes on the ground electrode exactly the same distance apart as they were on the DUT. The extracted inductance between the probes is shown in Figure 6. The model was compensated for by defining ports 75 um apart and including the extracted inductance of 37.5pH to the impedance between the ports. The correlation of the hardware to the new model is shown in Figure 7. The capacitance of the structure was extracted and is plotted in Figure 8. The measurement and modeling result of a.1mm diameter circular capacitor is shown in Figure 9 and Figure 10 respectively. The capacitance of the structure is 7.9nF and the ESR is approximately 0mohms. In order to match the model to the hardware, the inductance associated Figure 6. Extracted inductance of the probes placed 75um apart with the probes was extracted as done earlier. The spacing between the probes was also 75um in this case. The extracted inductance is shown in Figure 11, this inductance value was included in the model and the correlation between the updated model and measurement is shown in Figure 1. The capacitance of the structure was extracted and is plotted in Figure 13. Figure 14 shows the comparison between the measured values of different sized capacitors. The dimensions of the structures are 0.9mm square, 1mm square and a.1mm diameter circular capacitor. The measurement results show that the impedance responses of the capacitive structures are extremely sensitive to the probe inductances. D. Design of a capacitor network for decoupling The capacitor network has been designed by modeling thin film capacitors fabricated using the hydrothermal process /05/$ IEEE. 640

4 mentioned in Part A of this section. The design of the network is highly dependent on the process ground rules, which translates into the effective bandwidth that can be targeted for decoupling. The analysis done below is based on the System on Package (SOP) technologies ground rules developed at the PRC. Figure 10. Modeled frequency response of the circular capacitor of diameter.1mm. Figure 7. Model to hardware correlation with probe compensation inductance Figure 11. Extracted inductance of the probes placed 75um apart Figure 8. Extracted capacitance of 1mm X 1mm capacitor Figure 1. Model to hardware correlation with probe compensation inductance Figure 9. Measurement results of.1mm diameter capacitor. The (SOP) technology ground rules of the PRC process is summarized in Table. The capacitor network was designed to meet the target impedance number of 0.7 mohms (ITRS) over the mid band frequency range (100 MHz to GHz). An important parameter in the design of a power distribution system is the inductances associated with the power ground plane, via and solder balls. Figure 13. Extracted capacitance from measured results /05/$ IEEE. 641

5 Figure 14. Comparison of measured capacitors of different sizes TABLE : PROCESS GROUND RULES FOR THE PRC SOP TECHNOLOGY PARAMETERS Power Ground plane Dielectric thickness Power Ground plane Dielectric Constant VALUE 9um.65 Loss Tangent Line and space 10um Via diameter 0 um Via Pad size 40um Via Pitch 60um Single via pair inductance 0pH Capacitor minimum size 300um side Capacitor maximum size Spacing between capacitors 3000um side 100um This part of the section analyses the effect of the above mentioned inductances. The processor power and ground solder balls are assumed to be equally spread across the processor. An equal distribution of current is assumed across all the power and ground solder balls on the processor. For a 1TeraByte off chip bandwidth, the number of power ground solder balls far exceeds the number of via connections from the capacitors to the power-ground plane of the package. Therefore, through proper design each via could be directly connected to a solder ball. The spacing between the power and ground planes is 9um for future SOP s. The combination of the thin planes and uniform current distribution due to the power ground bumps would minimize the spreading inductance of the planes to a great extent. For the above scenario the spreading inductance has been neglected in the case where the capacitors are placed directly below the processor. The variation of spreading inductance with power ground plane thickness is given in [6]. Fast Henry was used in the inductance extraction of a power ground solder bump pair. The diameter of a solder bump is 50um and the pitch of the solder balls is 117um. The extracted inductance value was 14.56pH.There are 348 pairs of solder bumps in parallel as per the above analysis, giving an effective inductance of 4.4fH. The microvias are 0 um in diameter and the via pitch is 60um as given in Table. The inductance of a power-ground via pair as extracted from Fast Henry is 0pH. The solder ball and via inductances have been included in the simulation model. The design of the capacitor network along with the simulation results are described in the remaining part of this section. Based on the PRC process only 1 layer of discrete capacitors has been assumed. For the simulation results in Figures 15, 16 and 17 all the capacitors were placed just under or slightly around the periphery of the processor. Routing of the signal interconnects and influences of the spreading inductance were the main reasons for the compact placement of the capacitors. The package with the embedded capacitors was modeled using the Transmission Matrix Method [5]. The effect of the spreading inductance for capacitors placed outside the periphery of the processor was captured by the modeling methodology. Figure 15 shows the bandwidth over which the target impedance that can be met with barium titanate capacitors. The inductance (ESL) associated with these capacitors are of the order of 1pH as per the modeling in Part C of this section. In the figure below, the lower frequency band of the mid frequency range has been targeted. Figure 15. Lowerband decoupling with capacitor network In order to get the above response, 40 square capacitors of 1.75mm a side were used. 40 capacitors in parallel reduce the effective via inductance to 0.5pH. The sizes of the capacitors along with the via inductances decide the frequency at which they will resonate. In Figure 16, the higher band of the mid frequency range has been targeted. 80 capacitors of 0.75mm along with 80 capacitors of 1mm a side capacitors were used to obtain the above response. From the above analysis it can be observed that based on the current ground rules and material properties it would not be possible to achieve the target impedance from 100MHz to GHz. Therefore, in Figure 17, a similar analysis was carried out with capacitor layers to meet the target impedance over the mid frequency band. The capacitors used in Figure 15 along with 1 capacitors of.58mm a side were combined to get the response. From the above figure the mid frequency band under consideration can be targeted with capacitor layers. The target impedance of a multicore processor is 1mohm, which could be easily met with the capacitor network. The total capacitance of the network is.163uf. For the frequency s below 100 MHz and above GHz, surface mount and on chip decoupling capacitors can be used respectively. E. Package Design The package was designed to support a multi-core processor in the 65 nm node. The total number of powerground and signal solder bumps required to support 1 Tera /05/$ IEEE. 64

6 byte of off chip bandwidth are 6856 and 348 respectively, assuming a 50% overhead in signal lines [3]. is 0.65mm. Assuming that the number of power and ground pins on the package to the board has a 1:4 ratio to the power ground pins on the chip to the package, the total number of power-ground BGA s is The number of signal BGA s is 348, giving a total BGA count of 514. For a square package this number translates to 7 BGA bumps a side. Therefore the package size would be 46.6mm by 46.6mm. Figure 16. Higher band decoupling with capacitor network SMT S On chip decoupling Figure 18. Proposed cross section of 007 multi-core processor package supporting 1Terabyte/s off chip bandwidth. As can be seen from figure 18, there are 10 metal levels required for the package to support the capacitor layers, metal routing layers and the power-ground layers. Figure 17. Target impedance decoupling in mid frequency band The high number of solder balls would require a pitch of 117um on a 140mm chip. The details of the solder balls are given in Table 3. Assuming the size of the solder balls to be 50um in diameter and the line width to be 10 um as per the PRC ground rules, an estimate as to the number of layering levels is done next. The 348 signal bumps are assumed to be placed on the periphery of the processor. The width available for escape between solder balls is 67um. Therefore, 155 lines can escape to the first signal level. Carrying out a similar analysis the number of metal levels required to route all the signal levels are 3. Figure 18 shows the proposed cross section of the package. TABLE 3: SOLDER BALL DETAILS FOR A MULTI-CORE PROCESSOR Total number of solder balls 1084 Power ground solder balls 6856 Signal solder balls 348 Ball diameter 50um Number of solder balls per processor 101 edge Solder ball pitch 117um The ball grid pitch at the bottom of the package will decide it s size. From ITRS, the Ball Grid Array (BGA) pitch for 007 IV. CONCLUSION This paper highlights the importance of embedded capacitors in providing decoupling in the mid band frequency range. An efficient method of modeling and measuring embedded capacitors has been highlighted. Based on the decoupling and wiring requirements a 10 metal level package has been proposed to for future processors. REFERENCES [1] Hyungsoo Kim, Byung Kook Sun, and Joungho Kim, Suppression of GHz range power/ground inductive impedance and simultaneous switching noise using embedded film capacitors in Multilayer Packages and PCB s, IEEE Microwave and Wireless Components Letters, Vol 14, NO, February 004 [] Richard Ulrich, Embedded Resistors and Capacitors for Organic-Based SOP, IEEE Transactions on Advanced Packaging,Vol 7, NO., May 004 [3] Prathap Muthana, Madhavan Swaminathan, Packaging of Multi-core processors: Tradeoffs and Potential Solutions, ECTC 005., in press. [4] Istvan Novak, Jason R.Miller, Frequency Dependent characterization of Bulk and Ceramic Bypass Capacitors, Poster material for the 1 th Topical Meeting on Electrical Performance of Electronic Packaging, October 003. [5] Joong-Ho Kim and Madhavan Swaminathan, Modeling of Multilyaer Power Distribution Planes using Transmission Matrix Method, IEEE Transactions on Advanced Packaging, Vol 5, NO., May 005 [6] Tanmoy Roy, Larry Smith, ESR and ESL of Ceramic capacitor applied to Decoupling Applications, Electrical Performance of Electronic Packaging, October /05/$ IEEE. 643

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Frequency-Domain Characterization of Power Distribution Networks

Frequency-Domain Characterization of Power Distribution Networks Frequency-Domain Characterization of Power Distribution Networks Istvan Novak Jason R. Miller ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xi xv CHAPTER 1 Introduction 1 1.1 Evolution

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Power Distribution Status and Challenges

Power Distribution Status and Challenges Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer

More information

EMBEDDED passives are gradually replacing discrete

EMBEDDED passives are gradually replacing discrete IEEE TRANSACTIONS ON ADVANCED PACKAGING 1 Design, Modeling, and Characterization of Embedded Capacitor Networks for Core Decoupling in the Package Prathap Muthana, Student Member, IEEE, Arif Ege Engin,

More information

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems DesignCon 2019 Effect of Power Plane Inductance on Power Delivery Networks Shirin Farrahi, Cadence Design Systems shirinf@cadence.com, 978-262-6008 Ethan Koether, Oracle Corp ethan.koether@oracle.com Mehdi

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

What is New about Thin Laminates in 2013?

What is New about Thin Laminates in 2013? PCBDesign 007 QuietPower column What is New about Thin Laminates in 2013? Istvan Novak, Oracle, February 2013 It is almost two years ago that the QuietPower column Thin Laminates: Buried Capacitance or

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Challenges and More Challenges SW Test Workshop June 9, 2004

Challenges and More Challenges SW Test Workshop June 9, 2004 Innovating Test Technologies Challenges and More Challenges SW Test Workshop June 9, 2004 Cascade Microtech Pyramid Probe Division Ken Smith Dean Gahagan Challenges and More Challenges Probe card requirements

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Enabling Parallel Testing at Sort for High Power Products

Enabling Parallel Testing at Sort for High Power Products Enabling Parallel Testing at Sort for High Power Products Abdel Abdelrahman Tim Swettlen 2200 Mission College Blvd. M/S SC2-07 Santa Clara, CA 94536 Abdel.Abdelrahman@intel.com Tim.Swettlen@intel.com Agenda

More information

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications R. L. Li, G. DeJean, K. Lim, M. M. Tentzeris, and J. Laskar School of Electrical and Computer Engineering

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

CROSSTALK DUE TO PERIODIC PLANE CUTOUTS. Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems

CROSSTALK DUE TO PERIODIC PLANE CUTOUTS. Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems CROSSTALK DUE TO PERIODIC PLANE CUTOUTS Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems 1 Outline 1 Introduction 2 Crosstalk Theory 3 Measurement 4 Simulation correlation 5 Parameterized

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract

Managing Complex Impedance, Isolation & Calibration for KGD RF Test Abstract Managing Complex Impedance, Isolation & Calibration for KGD RF Test Roger Hayward and Jeff Arasmith Cascade Microtech, Inc. Production Products Division 9100 SW Gemini Drive, Beaverton, OR 97008 503-601-1000,

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Ashish N. Pardiwala, Intel Corporation

More information

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Nithya Sankaran,Venkatesh Chelukka Ramdas +, Baik-Woo Lee, Venky Sundaram,

More information

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5 1of 5 Suppressing ICs with BGA packages and multiple DC rails Some Intel Core i5 BGA packages CEng, EurIng, FIET, Senior MIEEE, ACGI Presenter Contact Info email: keith.armstrong@cherryclough.com website:

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

THE continuous increase of data-intensive smart mobile

THE continuous increase of data-intensive smart mobile IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 1, JANUARY 2016 87 Design and Demonstration of Power Delivery Networks With Effective Resonance Suppression in Double-Sided

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Wide-Band Two-Stage GaAs LNA for Radio Astronomy

Wide-Band Two-Stage GaAs LNA for Radio Astronomy Progress In Electromagnetics Research C, Vol. 56, 119 124, 215 Wide-Band Two-Stage GaAs LNA for Radio Astronomy Jim Kulyk 1,GeWu 2, Leonid Belostotski 2, *, and James W. Haslett 2 Abstract This paper presents

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Power Plane and Decoupling Optimization. Isaac Waldron

Power Plane and Decoupling Optimization. Isaac Waldron Power Plane and Decoupling Optimization p Isaac Waldron Overview Frequency- and time-domain power distribution system specifications Decoupling design example Bare board Added d capacitors Buried Capacitance

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

Overcoming the Challenges of HDI Design

Overcoming the Challenges of HDI Design ALTIUMLIVE 2018: Overcoming the Challenges of HDI Design Susy Webb Design Science Sr PCB Designer San Diego Oct, 2018 1 Challenges HDI Challenges Building the uvia structures The cost of HDI (types) boards

More information

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements Istvan Novak (*), Jim Nadolny (*), Gary Biddle (*), Ethan Koether (**), Brandon Wong (*) (*) Samtec, (**) Oracle This session

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc.

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc. DesignCon 2009 Control of Electromagnetic Radiation from Integrated Circuit Heat sinks Cristian Tudor, Fidus Systems Inc. Cristian.Tudor@fidus.ca Syed. A. Bokhari, Fidus Systems Inc. Syed.Bokhari@fidus.ca

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

CIRCUIT DESIGN. Tel (678) Fax (678)

CIRCUIT DESIGN. Tel (678) Fax (678) P R I N T E D CIRCUIT DESIGN is published monthly by: UP Media Group Inc. 2018 Powers Ferry Road, Ste. 600 Atlanta, GA 30339 Tel (678) 589-8800 Fax (678) 589-8850 All material published in this file and

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Best Design and Layout Practices for SiTime Oscillators

Best Design and Layout Practices for SiTime Oscillators March 17, 2016 Best Design and Layout Practices 1 Introduction... 1 2 Decoupling... 1 3 Bypassing... 4 4 Power Supply Noise Reduction... 5 5 Power Supply Management... 6 6 Layout Recommendations for SiTime

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

Dual-band LNA Design for Wireless LAN Applications. 2.4 GHz LNA 5 GHz LNA Min Typ Max Min Typ Max

Dual-band LNA Design for Wireless LAN Applications. 2.4 GHz LNA 5 GHz LNA Min Typ Max Min Typ Max Dual-band LNA Design for Wireless LAN Applications White Paper By: Zulfa Hasan-Abrar, Yut H. Chow Introduction Highly integrated, cost-effective RF circuitry is becoming more and more essential to the

More information

Low Noise Amplifier for 3.5 GHz using the Avago ATF Low Noise PHEMT. Application Note 1271

Low Noise Amplifier for 3.5 GHz using the Avago ATF Low Noise PHEMT. Application Note 1271 Low Noise Amplifier for 3. GHz using the Avago ATF-3143 Low Noise PHEMT Application Note 171 Introduction This application note describes a low noise amplifier for use in the 3.4 GHz to 3.8 GHz wireless

More information

Quick guide to Power. V1.2.1 July 29 th 2013

Quick guide to Power. V1.2.1 July 29 th 2013 Quick guide to Power Distribution ib ti Network Design V1.2.1 July 29 th 2013 High level High current, high transient Power Distribution Networks (PDN) need to be able to respond to changes and transients

More information

POWER DELIVERY MODEL OF TEST PROBE CARDS

POWER DELIVERY MODEL OF TEST PROBE CARDS POWER DELIVERY MODEL OF TEST PROBE CARDS Habib Kilicaslan (hkilicaslan@kns.com) Bahadir Tunaboylu (btunaboylu@kns.com) Kulicke & Soffa Industries June 5, 2005 2005 Southwest Test Workshop 1 Overall system

More information

FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA

FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA FABRICATING AND USING A PCB-BASED TRL PATTERN WITH A CMT VNA 03/19/2018 Introduction Copper Mountain Technologies provides metrologically sound, lab grade USB VNAs which support advanced calibration techniques,

More information

Design and Demonstration of a Passive, Broadband Equalizer for an SLED Chris Brinton, Matthew Wharton, and Allen Katz

Design and Demonstration of a Passive, Broadband Equalizer for an SLED Chris Brinton, Matthew Wharton, and Allen Katz Introduction Design and Demonstration of a Passive, Broadband Equalizer for an SLED Chris Brinton, Matthew Wharton, and Allen Katz Wavelength Division Multiplexing Passive Optical Networks (WDM PONs) have

More information

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simbeor Application Note #2008_02, April 2008 2008 Simberian Inc. Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simberian, Inc. www.simberian.com Simbeor : Easy-to-Use, Efficient

More information

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency 26 High Frequency Measurement and Performance of High Multilayer Ceramic Capacitors Introduction Capacitors used in High Frequency applications are generally used in two particular circuit applications:

More information

Broadband analog phase shifter based on multi-stage all-pass networks

Broadband analog phase shifter based on multi-stage all-pass networks This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Broadband analog phase shifter based on multi-stage

More information

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques From September 2002 High Frequency Electronics Copyright 2002, Summit Technical Media, LLC Accurate Simulation of RF Designs Requires Consistent Modeling Techniques By V. Cojocaru, TDK Electronics Ireland

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Electrical Characterization of a 64 Ball Grid Array Package

Electrical Characterization of a 64 Ball Grid Array Package EMC Europe - Hamburg, 8 th September 008 Summary Electrical Characterization of a 64 Ball Grid Array A. Boyer (), E. Sicard (), M. Fer (), L. Courau () () LATTIS - INSA of Toulouse - France () ST-Microelectronics

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Over GHz Electrical Circuit Model of a High-Density Multiple Line Grid Array (MLGA) Interposer

Over GHz Electrical Circuit Model of a High-Density Multiple Line Grid Array (MLGA) Interposer 90 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 26, NO. 1, FEBRUARY 2003 Over GHz Electrical Circuit Model of a High-Density Multiple Line Grid Array (MLGA) Interposer Seungyoung Ahn, Junho Lee, Junwoo

More information

Inductor Modeling of Integrated Passive Device for RF Applications

Inductor Modeling of Integrated Passive Device for RF Applications Inductor Modeling of Integrated Passive Device for RF Applications Yuan-Chia Hsu Meng-Lieh Sheu Chip Implementation Center Department of Electrical Engineering 1F, No.1, Prosperity Road I, National Chi

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

DISCRETE SEMICONDUCTORS DATA SHEET. BFG97 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14

DISCRETE SEMICONDUCTORS DATA SHEET. BFG97 NPN 5 GHz wideband transistor. Product specification File under Discrete Semiconductors, SC14 DISCRETE SEMICONDUCTORS DATA SHEET File under Discrete Semiconductors, SC14 September 1995 DESCRIPTION NPN planar epitaxial transistor mounted in a plastic SOT223 envelope. It features excellent output

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Application Note 1285

Application Note 1285 Low Noise Amplifiers for 5.125-5.325 GHz and 5.725-5.825 GHz Using the ATF-55143 Low Noise PHEMT Application Note 1285 Description This application note describes two low noise amplifiers for use in the

More information

Ultra-Wide-Band (UWB) Band-Pass-Filter Using Integrated Passive Device (IPD) Technology for Wireless Applications. STATS ChipPAC D&C YongTaek Lee

Ultra-Wide-Band (UWB) Band-Pass-Filter Using Integrated Passive Device (IPD) Technology for Wireless Applications. STATS ChipPAC D&C YongTaek Lee Ultra-Wide-Band (UWB) Band-Pass-Filter Using Integrated Passive Device (IPD) Technology for Wireless Applications June 17, 2009 STATS ChipPAC D&C YongTaek Lee Rev01 Agenda Introduction Design and characterization

More information

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION 6.1 Introduction In this chapter we have made a theoretical study about carbon nanotubes electrical properties and their utility in antenna applications.

More information

A Miniaturized Wide-Band LTCC Based Fractal Antenna

A Miniaturized Wide-Band LTCC Based Fractal Antenna A Miniaturized Wide-Band LTCC Based Fractal Antenna Farhan A. Ghaffar, Atif Shamim and Khaled N. Salama Electrical Engineering Program King Abdullah University of Science and Technology Thuwal 23955-6500,

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions MicroSiP TM DC/DC Converters Fully Integrated Power Solutions PicoStar TM Christophe Vaucourt Thies Puchert, Udo Ottl, Frank Stepniak, Florian Feckl 1 Outline Illustrate TI s recent developments in the

More information