Decoupling Capacitance

Size: px
Start display at page:

Download "Decoupling Capacitance"

Transcription

1 Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering

2 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

3 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

4 Target impedance characteristics Target impedance is falling at an alarming rate Hierarchical decoupling *L.D Smith et al., Power Distribution System Design Methodology and Capacitor Selection for Modern CMOS Technology, IEEE Transactions on Advanced Packaging, Vol. 24, Issue 3, pp , August 1999

5 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

6 Decap budget based on noise estimation Shortest path between the source and sink offers highly accurate simultaneous switching noise (SSN) estimation. Place decaps around Hot Spots Reduce the current flow by 1/ θ where noise at module k is θ times the tolerable noise limit Decap at module k is only responsible for providing the switching current of module k S. Zhao, K. Roy, and C.-K Koh, Decoupling Capacitance Allocation and Its Application to Power Supply Noise Aware Floorplaning. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002

7 White space allocation scheme White space (WS) allocation using linear programming Decap budget for each circuit module is converted to the area of silicon required to fabricate the decap where C ox is the unit area cap. Problem of white space allocation can be formulated as a set of following constraints, where S is the total WS allocated, x (j) k be the amount of WS allocated to circuit module j from WS module k, N k = {j: module j is adjacent to WS module k}, H is the isolated WS module with area A k S. Zhao, K. Roy, and C.-K Koh, Decoupling Capacitance Allocation and Its Application to Power Supply Noise Aware Floorplaning. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002

8 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

9 Decap modeling with effective distance The goal of decap placement and sizing is to find: Location of the blocks and assignment of whitespace to blocks Thickness of decap so as to satisfy power supply noise and leakage constraints Previous scheme assigns decaps to adjacent blocks only Introduce concept of effective distance to make use of nonadjacent white-space for decap allocation Eric Wong, Jacob Minz and Sung Kyu Lim, Decoupling Capacitor Planning and Sizing for Noise and Leakage Reduction. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002

10 Decap allocation and sizing algorithm Decap planning algorithm White space detection algorithm Longest path tree calculation based on vertical constraint graph Decap allocation and sizing algorithm Generalized network flow to solve min-cost max-flow Eric Wong, Jacob Minz and Sung Kyu Lim, Decoupling Capacitor Planning and Sizing for Noise and Leakage Reduction. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002

11 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

12 Allocation strategy based on effective radius Based on target impedance Z target, Based on charge time: On chip decap should satisfy both effective radii criteria *M. Popovich and E. G. Friedman, " Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids," Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, pp , April/May 2006

13 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

14 Impedance of PDS with multiple voltages To shift the antiresonance to a higher frequency Place a smaller decoupling capacitor in parallel Decrease the total ESL of the system On chip ESR (effective series resistance) On Chip ESL (effective series inductance) *M. Popovich and E. G. Friedman, " Decoupling Capacitors for Power Distribution Systems with Multiple Power Supply Voltages Proceedings of the IEEE International SOC Conference, pp , September 2004

15 Voltage Transfer Function of multi-pds Voltage transfer function Kv is: where V dd1 is a lower voltage supply, r is the allowed ripple, V dd2 is higher voltage supply Overshoot free voltage response depends on total ESR of decap, Magnitude of voltage transfer function is strongly dependent on ESL, decreasing with smaller ESL *M. Popovich and E. G. Friedman, " Decoupling Capacitors for Multi-Voltage Power Distribution Systems, IEEE Transactions On VLSI Systems, Vol. 14., No. 3, March 2006.

16 Noise coupling for PDS with decap For frequencies smaller than break frequency both decap and ESL should be decreased Conversely for frequencies ranging from break frequency to infinity, both the ESL and decap should be increased *M. Popovich and E. G. Friedman, " Decoupling Capacitors for Multi-Voltage Power Distribution Systems, IEEE Transactions On VLSI Systems, Vol. 14., No.3, March 2006

17 Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling with effective distance Effective radii of on-chip decaps Decaps in multi-power distribution system On Package Decoupling capacitance platform for substrates, sockets and interposers Noise driven in-package decap optimization Summary References

18 System decoupling loops for PCB mounted decaps Topside cap Suffers from via loop inductance Expensive solutions: adding capacitance directly to the substrate, resort to high density interconnect technologies such as microvias, blind vias, and stepped vias Josh G. Nickel, Joseph F Rosenberger, Decoupling Capacitance Platform for Substrates, Sockets and Interposers, DesignCon 2005

19 Decap loop with CapCore Interposer Shown 10x lower improvement in the power delivery impedance,as seen from the die, for frequencies upto 400Mhz Josh G. Nickel, Joseph F Rosenberger, Decoupling Capacitance Platform for Substrates, Sockets and Interposers, DesignCon 2005

20 View of interposer assembly Fig: Die/Substrate is at the top, with a top cover next, followed by capacitor core, signal pins, finally the interposer body Decoupling is moved closer to the die demonstrating less inductance This scheme demonstrates improvement in power delivery and decoupling CapCore Interposer body Josh G. Nickel, Joseph F Rosenberger, Decoupling Capacitance Platform for Substrates, Sockets and Interposers, DesignCon 2005

21 Agenda Background On-Chip Algorithms for Decap sizing and placement Based on Noise Estimation Decap Modeling with Effective Distance Effective Radii of On-Chip Decaps Decaps in Multi-Power Distribution System On Package Decoupling Capacitance Platform for Substrates, Sockets and Interposers Noise driven in-package decap optimization Summary References

22 Noise Driven In-Package Decap Optimization Developed a model to minimize the cost of the decap in package under the constraint of noise in the PDS Assumptions possible locations for chip I/O ports and decaps are predefined before optimization the impedance matrix is given values of frequency dependent impedance of decap at the sample frequencies are pre-computed Considered two noise metrics Time domain metric Impedance metric Noise at the port i induced by the switching at port j, the noise component at the kth frequency sampling point Jun Chen, Lei He, Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity, Proceeding of the 2006 International Symposium on Physical Design, February 2006

23 Optimal distribution for noise driven approach where α and β are weights for the noise and cost resp. α is chosen to be much larger than β so that noise constraint can be achieved. Distribution for noise driven approach Jun Chen, Lei He, Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity, Proceeding of the 2006 International Symposium on Physical Design, February 2006

24 Comparison of different approaches Different types of decaps considered Results of noise driven approach Decaps are concentrated along the I/O rings Cost of solution is 3X smaller than the solution of impedance based approach. Results of impedance driven approach Impedance as a noise metric leads to large over-design Results of optimal distribution Best location of decap may not be closest to chip can be distributed around the chip and across the planes Jun Chen, Lei He, Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity, Proceeding of the 2006 International Symposium on Physical Design, February 2006

25 Agenda Background On-Chip Algorithms for Decap sizing and placement Based on Noise Estimation Decap Modeling with Effective Distance Effective Radii of On-Chip Decaps Decaps in Multi-Power Distribution System On Package Decoupling Capacitance Platform for Substrates, Sockets and Interposers Noise driven in-package decap optimization Summary References

26 Summary Discussed on-chip decap allocation and placement algorithms On-Chip decap in multi power distribution system Noise coupling in power distribution system Decoupling capacitance platform for substrates, sockets and interposers On-Package decap optimization for power integrity

27 . References L.D Smith et al., Power Distribution System Design Methodology and Capacitor Selection for Modern CMOS Technology, IEEE Transactions on Advanced Packaging, Vol. 24, Issue 3, pp , August 1999 S. Zhao, K. Roy, and C.-K Koh, Decoupling Capacitance Allocation and Its Application to Power Supply Noise Aware Floorplaning. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002 Eric Wong, Jacob Minz and Sung Kyu Lim, Decoupling Capacitor Planning and Sizing for Noise and Leakage Reduction. IEEE Transactions on Computer Aided Design and Integrated Circuits and Systems, Vol 21, No. 1, pp , January 2002 M. Popovich and E. G. Friedman, " Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids," Proceedings of the ACM/IEEE Great Lakes Symposium on VLSI, pp , April/May 2006 *M. Popovich and E. G. Friedman, " Impedance Characteristics of Decoupling Capacitors in Multi-Power Distribution Systems," Proceedings of the IEEE International Conference on Electronics, Circuits and Systems, pp , December Jun Chen, Lei He, Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity, Proceeding of the 2006 International Symposium on Physical Design, February 2006 Jun Chen, Lei He, Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity Josh G. Nickel, Joseph F Rosenberger, Decoupling Capacitance Platform for Substrates, Sockets and Interposers, DesignCon 2005 M. Popovich and E. G. Friedman, " Decoupling Capacitors for Power Distribution Systems with Multiple Power Supply Voltages Proceedings of the IEEE International SOC Conference, pp , September 2004

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References 6. Switching noise avoidance 7. Qualitative guidelines for onchip Power Distribution Network design 8. References Switching noise avoidance: design Packages: Inductance dominates at high frequency Package

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning.

A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning. A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning Tao Xu Brad Brim Agenda Adaptive voltage positioning (AVP) Extended adaptive voltage

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

POWER dissipation has become a critical design issue in

POWER dissipation has become a critical design issue in IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 217 Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

Session 5 PCB Advancements And Opportunities

Session 5 PCB Advancements And Opportunities Minimizing Socket & Board Inductance using a Novel decoupling Interposer 2007 Burn-in and Test Socket Workshop Nick Langston James Zhou, Hongjun Yao It is better to uncover a little than to cover a lot.

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

Integrated Power Delivery for High Performance Server Based Microprocessors

Integrated Power Delivery for High Performance Server Based Microprocessors Integrated Power Delivery for High Performance Server Based Microprocessors J. Ted DiBene II, Ph.D. Intel, Dupont-WA International Workshop on Power Supply on Chip, Cork, Ireland, Sept. 24-26 Slide 1 Legal

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Frequently Asked EMC Questions (and Answers)

Frequently Asked EMC Questions (and Answers) Frequently Asked EMC Questions (and Answers) Elya B. Joffe President Elect IEEE EMC Society e-mail: eb.joffe@ieee.org December 2, 2006 1 I think I know what the problem is 2 Top 10 EMC Questions 10, 9

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

Decoupling Capacitance Allocation for Power Supply Noise Suppression

Decoupling Capacitance Allocation for Power Supply Noise Suppression Decoupling Capacitance Allocation for Power Supply Noise Suppression Shiyou Zhao, Kaushi Roy, Cheng-Ko Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN 47907-1285

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Z-Axis Power Delivery (ZAPD) Concept and Implementation

Z-Axis Power Delivery (ZAPD) Concept and Implementation Z-Axis Power Delivery (ZAPD) Concept and Implementation 1 The Slew Rate Wall < 20pH < 20pH Beyond 2005 di/dt = 1000 A/ns V droop = 75 mv 2004 di/dt =680 A/ns V droop = 100 mv 1500pH 500pH 2003 di/dt =

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Efficient Decoupling Capacitor Planning via Convex Programming Methods

Efficient Decoupling Capacitor Planning via Convex Programming Methods Efficient Decoupling Capacitor Planning via Convex Programming Methods Andrew B. Kahng UC San Diego La Jolla, CA 92093 abk@ucsd.edu Bao Liu UC San Diego La Jolla, CA 92093 bliu@cs.ucsd.edu Sheldon X.-D.

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

PCB layout guidelines. From the IGBT team at IR September 2012

PCB layout guidelines. From the IGBT team at IR September 2012 PCB layout guidelines From the IGBT team at IR September 2012 1 PCB layout and parasitics Parasitics (unwanted L, R, C) have much influence on switching waveforms and losses. The IGBT itself has its own

More information

Fully Integrated Switched-Capacitor DC-DC Conversion

Fully Integrated Switched-Capacitor DC-DC Conversion Fully Integrated Switched-Capacitor DC-DC Conversion Elad Alon In collaboration with Hanh-Phuc Le, Seth Sanders Berkeley Wireless Research Center University of California, Berkeley Multi-Core Chips Are

More information

Power Distribution Status and Challenges

Power Distribution Status and Challenges Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer

More information

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16 1 PCB DESIGN Dr. P. C. Pandey EE Dept, IIT Bombay Rev. Jan 16 2 Topics 1.General Considerations in Layout Design 2.Layout Design for Analog Circuits 3.Layout Design for Digital Circuits 4. Artwork Considerations

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Considerations for Capacitor Selection in FPGA Designs CARTS 2005

Considerations for Capacitor Selection in FPGA Designs CARTS 2005 Considerations for Capacitor Selection in FPGA Designs CARTS 2005 Steve Weir steve@teraspeed.com Teraspeed Consulting Group LLC Page 1 Agenda What does an FPGA power delivery system look like? What really

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS A Thesis Presented to The Academic Faculty By Vishal Laddha In Partial Fulfillment of the Requirements for the Degree

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign Fast Flip-Chip Pin-Out esignation Respin by Pin-Block esign and Floorplanning for Package-Board Codesign Ren-Jie Lee, Ming-Fang Lai and Hung-Ming Chen epartment of Electronics Engineering and SoC Research

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency 26 High Frequency Measurement and Performance of High Multilayer Ceramic Capacitors Introduction Capacitors used in High Frequency applications are generally used in two particular circuit applications:

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

PC Pandey: Lecture notes PCB Design, EE Dept, IIT Bombay, rev. April 03. Topics

PC Pandey: Lecture notes PCB Design, EE Dept, IIT Bombay, rev. April 03. Topics PC Pandey: Lecture notes PCB Design, EE Dept,, rev. April 03 1 PC Pandey: Lecture notes PCB Design, EE Dept,, rev. April 03 2 PCB DESIGN Dr. P. C. Pandey EE Dept, Revised Aug 07 Topics 1.General Considerations

More information

Power Distribution Networks with On-Chip Decoupling Capacitors

Power Distribution Networks with On-Chip Decoupling Capacitors Power Distribution Networks with On-Chip Decoupling Capacitors Mikhail h Popovich Andrey V. Mezhiba Eby G. Friedman Power Distribution Networks with On-Chip Decoupling Capacitors ABC Mikhail Popovich University

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc.

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc. DesignCon 2009 Control of Electromagnetic Radiation from Integrated Circuit Heat sinks Cristian Tudor, Fidus Systems Inc. Cristian.Tudor@fidus.ca Syed. A. Bokhari, Fidus Systems Inc. Syed.Bokhari@fidus.ca

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

it Gb/s NRZ Modulator Driver VD1 VCTRL1 OUT/VD2 Description Features Device Diagram Gain

it Gb/s NRZ Modulator Driver VD1 VCTRL1 OUT/VD2 Description Features Device Diagram Gain Description The it65 is a high-performance NRZ modulator driver for metro and long-haul LiNbO optical transmitters. The device consists of a wideband iterra phemt amplifier in a surface-mount package.

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

Quick guide to Power. V1.2.1 July 29 th 2013

Quick guide to Power. V1.2.1 July 29 th 2013 Quick guide to Power Distribution ib ti Network Design V1.2.1 July 29 th 2013 High level High current, high transient Power Distribution Networks (PDN) need to be able to respond to changes and transients

More information

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1

Website:  vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1 ECE260B CSE241A Winter 2005 Power Distribution Website: / courses/ ece260bw05 ECE 260B CSE 241A Power Distribution 1 Motivation Power supply noise is a serious issue in DSM design Noise is getting worse

More information

THE continuous increase of data-intensive smart mobile

THE continuous increase of data-intensive smart mobile IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 1, JANUARY 2016 87 Design and Demonstration of Power Delivery Networks With Effective Resonance Suppression in Double-Sided

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

High-Speed PCB Design und EMV Minimierung

High-Speed PCB Design und EMV Minimierung TRAINING Bei dem hier beschriebenen Training handelt es sich um ein Cadence Standard Training. Sie erhalten eine Dokumentation in englischer Sprache. Die Trainingssprache ist deutsch, falls nicht anders

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information