DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school

Size: px
Start display at page:

Download "DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school"

Transcription

1 Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic parameters Review of digital circuits parameters Static electrical characteristics; V/I parameters Interfacing and compatibility Output stage: OC, TP, 3S Models for the output stage Dynamic parameters: Tr, Tf, Tp; RC models for delay evaluation Reference 1: Storey, ch 14 Reference 2: 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 2

2 Digital modules Power supply and signal A digital module uses A Power Supply (V PW ) Input and out signals, represented as binary words» Groups of 1/0, in serial or parallel format DIGITAL MODULE V PW Power supply voltage V PW is (mostly) a positive voltage Some modules use several supply voltages Most usual values: 5 V; 3,3 V; 2,5 V; 1,8 V;... 0,8 V Here we analyze signals on single inputs and outputs Results usable for modules with any number of inputs and outputs V PW DIGITAL MODULE /03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 4

3 Logic states and voltage levels Output of logic circuits Logic states (0/1, L/H) are represented by electric quantities (usually voltages: V) The logic state voltage assignment is arbitrary positive logic : 1 V H ; 0 V L negative logic : 0 V H ; 1 V L In this course state 1, H : electric level High, most positive voltage, labeled as V H state 0, L : electric level Low, most negative voltage, labeled as V L V V (1) H V L (0) SPDT switch at the output First approximation model: V H = V PW and V L = 0V () state 1, H : = V H state 0, L : = V L V PW 0V V H V PW V L 0V, 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 6

4 Input of logic circuits Input output connection Sense the logic state by comparing the input voltage with a threshold V T. comes from a logic output; and must match. > V T : state H Sensed as High state High level V H Sensed as High state < V T : state L V T Sensed as Low state Low level V L V T Sensed as Low state 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 8

5 Output levels and Input threshold IN/OUT specifications Manufacturer cannot guarantee the value of ; Two limit values are specified: H and L Manufacturer cannot guarantee the value of V T Two limit values are specified: H and L Ranges, not levels output V input > H : state H < L : state L H L H L > H : state H < L : state L Correct values for output voltages High level Low level max H L min H L MAX Allowed range for input voltage MIN 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 10

6 Connection among logic gates Compatibility of logic circuits With these ranges the input reads the correct logic states from the output voltage Compatible logic circuits can exchange logic states Inputs read in the correct way the output voltage levels. Conditions for logic compatibility: H L High level Low level H V T L L < L» Guarantees that the voltage issued by a LOW logic output is read as LOW state by logic inputs connected to that output. H > H» Guarantees that the voltage issued by a HIGH logic output is read as HIGH state by logic inputs connected to that output. To guarantee compatibility in any condition :» use Lmax,Hmin,Hmin, Lmax 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 12

7 An example of (in)compatibility Output A drives 1 and 2 inputs with the voltage V Input 1 senses V as High state (V > H2 ) Input 2 may read V as High or Low state» If V T between V and H2, it is sensed as Low A V 1 2 V H L Output A Input 1 Input 2 18/03/ DigElnA DDC H1 L1 H2 L2 Logic families We have seen that different devices use different voltages ranges for their logic levels. They also differ in other characteristics In order to assure correct operation when gates are interconnected they are produced in families. Digital circuits which belong to the same family have compatible IN/OUT V and I levels. A2.14 Storey, Electronics: A Systems Approach, 3 rd Edition Pearson Education Limited DDC Storey DDC Storey 14

8 Actual signals Noise margins Noise and interferences modify the output voltage. Interconnection (group D) The gaps H - H, and L - L guarantee correct sensing of the logic state, even with noise output High level H V input MAX H L The output ranges become wider H V T L The gap is called noise margin: NM H = H - H NM L = L - L Noise margin L Low level H L Undefined logic state MIN 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 16

9 Digital signal recovery A2: logic circuits parameters All digital inputs compare with a threshold The input circuit verifies if >< V T DIGITAL MODULE Vin INPUT V H /V L CIRCUIT LOGIC OPERATOR Vout H OUTPUT CIRCUIT Vout Review of digital circuits parameters Static electrical characteristics; V/I parameters Interfacing and compatibility Output stage: OC, TP, 3S Models for the output stage Dynamic parameters: Tr, Tf, Tp; RC models for delay evaluation The output issues voltages external to H -L L V T Vin 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 18

10 Logic inverter with MOS transistor Inverter transcharacteristic Structure: V GS = nmos (used as Switch) towards R PU towards power supply Behavior: 0V < V TH (state I = L)» MOS OFF, SW open» V U (state U = H) V PW = > V TH (state I = H)» MOS ON, SW closed I SWn» V U 0V (state U = L) R PU G R PU D S V PW MOSn U Basic inverter ( ) transcharacteristic. R PU VO MOSn Input voltage Vi 0V; output Vo Val Input voltage Vi Val; output Vo 0V Intermediate levels; undefined logic state 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 20

11 Simplified equivalent output circuit Refined equivalent output circuit Low state: output to ground High state: through R PU Low state: partition of (R ON << R PU ) High state: through R PU R PU R PU R PU R PU R ON I OFF 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 22

12 Inverter with load Complementary MOS inverter Output parameters: Val = 5 V Rpu = 10 kω Ron = 100 Ω Ioff = 100 na Evaluate Vo (for L and H states) No-load Load 10 kω towards Load 3 kω towards Val, and towards 3V Load 1 kω towards Val, 3V, Load 300Ω towards Val, 3V, CMOS structure Combination of nmos (towards ) and pmos (towards Val)» Output = 1 when I = 0 (SWp closed, SWn open)» Output = 0 when I = 1 (SWp open, SWn closed) I MOSp D U I U D MOSn I U 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 24

13 CMOS inverter: characteristic Features of CMOS inverter V GSp G V GSn Sp Sn Dp Dn V GSn = < V THn MOSn fully OFF V GSp = - < V THp MOSp fully ON V THn Mixed case; both MOSn and MOSp partially ON 0-0 I D V THp 0 V GSn = V GSp = - V GSn = > V THn MOSn fully ON V GSp = - > V THp MOSp fully OFF Fully symmetric structure The MOS/SW pair operate as a unique SPDT switch which brings the output to or to the power supply. No pull-up or pull-down resistor Symmetric behavior in H and L states I U I SWp SWn U I U 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 26

14 CMOS inverter transcharacteristic Current CMOS devices Compared with R-SW Symmetric More steep Actual circuits have very steep transcharacteristic G S S D D Input 0V; Output Intermediate voltage, undefined logic behavior Input ; Output 0V Input voltages are interpreted as H/L comparing the level with a threshold V T > V T H < V T L Threshold voltage V T < V T L H > V T H 0V L 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 28

15 H and L parameters Example: logic inverters V T changes with power supply, temperature,. V T does not have a precise value Manufactures can guarantee a range for V T : L.H H 45 tangents Transcharacteristic of a CMOS device (74HC04) C: Val = 5V D: Val = 3V V H output and Threshold V T change with Val >H logic state H < L logic state L L < < H Undefined logic state L L State L V T??? H State H No change of V T! Transcharacteristic of a BJT logic gate (74LS04) A: Val = 5V B: Val = 3V 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 30

16 CMOS equivalent circuit Logic circuits output types The output MOS have an equivalent resistance R O Different towards or Val (nmos and pmos) Totem Pole (TP) Standard type, 2 switches/transistors R OH R OL Open Collector/Open Drain (OC/OD) Only the SW towards, high state from a pull-up resistor Allow to get logic operators by wiring (WIRED LOGIC) Used to collect multiple signaling on a single wire (interrupts) Three State (3-S) L, H + high Z (open) Require Enable command Allow to put on the same line several outputs Used for microcomputer buses 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 32

17 Open Collector (Open Drain) output Open Collector/Drain model Output stage with a single switch (MOS or BJT) towards SW closed: Out to out state: L SW open: Out hig Z out state: depends from external circuit No collision In Out Out The output MOS forces the low level by connecting the output to L = 0V Whe the MOS is OFF, goes to the high level thanks to an external pullup resistor R PU H = SW L R PU SW L R PU VO 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 34

18 OC model with Ron Logic operators with O.C. MOS switches have ON resistance For correct (static) operation R ON << R PU Strong asymmetry of the equivalent resistance Ro in the H and L states SW L R ON R PU OC outputs can be used to build logic operators L node is LOW if at least one among SW (A, B) is closed 1 any SW closed Logic operator: NOR out = 0 when at leastone of the inputs = 1 WIRED OR Application: Interrupt request line A In1 In2 B L R PU L 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 36

19 Wired-AND operation Totem Pole and 3-state outputs The TP output has a single command MOSp MOSp MOSn MOSn The 3S output has two commands, and uses two control variables A2.37 Storey, Electronics: A Systems Approach, 3 rd Edition Pearson Education Limited /03/ DigElnA DDC 2009 DDC Storey DDC Storey 38

20 3 State output model Model for 3-S outputs with Enable SW H H Z L SPDP switch (SW L, as for TP) + SPST switch (SW E ) to Enable/disable the output SW L SW E OE SW L Two SPST switches use independent commands If both switches are open, (ENABLE = 0), the output SPDT has a new state Z. With output = Z, the logic state depends from external circuits. The model points out the operation of the OUT ENABLE (OE) command The output logic state (H/L) depends from SW L The electric state (Active/HighZ) depends from SW E The Enable command can be shared by several outputs 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 40

21 Application of three-state outputs Output current: state H A control unit issues mutually exclusive enable signals (only one at a time) The control unit knows which output should be enabled Application examples: Memory or register reading Multiplexer Cannot be used if the pre-selection is not available Example: interrupt In1 In2 In3 OE1 OE2 OE3 Enable control L OEi A High output drives a load to Current I O < 0 Voltage depends from current I O : = + R OH I O For correct operation > H, To get > H, I O > I OH R OH Actual,I O I O R L I OH R L H I O 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 42

22 Output current: state L Operating areas A Low output drives a load to V PW Current I O < 0 Voltage depends from current I O : = R OL I O For correct operation < L, To get < L, I O < I OL R OL I R O C VP L R C I OL W Actual,I O I O For correct operation ( external to L /H ), the current I O must be within the I OL - I OH limits High state I OH L V PW H Low state I OL Too high I O current I O < I OH Correct operation I O > I OL I O 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 44

23 Input and output static parameters Logic compatibility check H : maximum value of the threshold V T Input voltages > H are interpreted as H state L : minimum value of the threshold V T Input voltages < L are interpreted as L state H : high state minimum output voltage State H: > H, as long as I O < I OH L : low state maximum output voltage State L: < L, as long as I O < I OL Separate analysis for Low and High states Verify voltage levels compatibility L < L ; H > H Evaluate output currents for Low and High states adding Input currents of connected logic circuits I IL, I IH Load currents» Low state: loads connected to V PW» High state: loads connected to Verify current compatibility Low state: I O < I OL ; high state: I O > I OH 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 46

24 Dynamic parameters of signals Dynamic parameters of modules Rise time and Fall time Defined from 10% to 90% of swing Delays from input to output (propagation time t P ) Reference level: 50% of H - L swing V H H L (H + L )/2 t V L 10 0 t f t r t H L t PHL t PLH t 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 48

25 From CMOS data sheet Origin of delays and linear model t r, t f t TLH, (transition time L H, H L) The label defines the direction of change Delays and edge slope depends on reactive parameters (L and C) Actual circuit have nonlinear, II-order behavior Linear, I order models can be used for a first approximation analysis Delays among modules: t D Delays inside modules: t P 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 50

26 Delay RC model: L H transition Delay RC model: H L transition Thevenin network (V A, R OH ) for the output R I C I at input V A output R OH V B B input CI R I Thevenin network (V A =0, R OL ) for the output R I C I at input output R OL V B B input CI R I The state change (L H) is sensed when V B crosses V T (transmission delay t DLH ) H L V A V B t DLH V T t The state change (H L) is sensed when V B crosses V T (transmission delay t DHL ) H L V A V B t DHL V T t 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 52

27 Delay evaluation R/SW output circuit The state change delay t DXY Can be evaluated from V(t) and static electric parameters Variable delay, depending on C, V T, and other parameters Specs define maximum delay for a maximum capacitive load» Minimum delay is unknown; may be assumed = 0 H V T1 L V t DLH2 V T2 t For correct (static) operation R OL << R PU Strong asymmetry of the equivalent resistance Ro in the H and L states Strong asymmetry of the time constant Ro x C in the H and L states V A R PU R ON B B C C t DLH1 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 54

28 Delays in R/SW structures CMOS logic output Asymmetric waveform Fast H L transient (τ HL = C R OL //R PU ) Slow L H transient (τ LH = C R PU ) Slow L H transient τ LH = C R PU τ LH >> τ LùHL Fast H L transient τ HL = C R OL //R PU R OL << R PU The equivalent output resistance Ro is: R OH for state H R OL for state L R OL and R OH have similar values R OH R OL SW H SW L C 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 56

29 Delays for CMOS structure Effect of load Same approach as R/SW, but now R OH R OL Same time constants: τ LH τ HL Symmetric transitions TP output: Small resistors, fast transitions symmetric time constants OC output: asymmetric time constants V H V L τ LH = C R OH τ HL = C R OL t The equivalent total capacitance C T depends from the number of connected inputs: C T = sum (C I ) With many connected inputs: Delay and transition time go up Transitions becomee slower (may cause multiple state change) The maximum number of inputs which can be driven by a single output is limited by capacitive loading V A R O B input C I1 C I2 R 1I R I2 CMOS: very high input resistance 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 58

30 Fan out Lesson A2: final test The maximum number of inputs which can be connected to an output is the FAN OUT Fan Out depends from Static compatibility (max output & input currents, loads) Dynamic compatibility (delays, rise/fall times) In CMOS circuits the input current is very small (I I 0) Each input adds a parasitic capacitor Fan out is limited by capacitive loading Describe the specific features and benefits of digital signals. Explain the compatibility among logic circuits Define the noise margin Is it possible to measure H e L from a single device? Explain the asymmetry of rise/fall times in a R-SW gate Which parameters influence the delays in CMOS circuits? 18/03/ DigElnA DDC 18/03/ DigElnA DDC 2009 DDC Storey DDC Storey 60

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITAL ELECTRONICS B DIGITAL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits

More information

Lecture 11 Digital Circuits (I) THE INVERTER

Lecture 11 Digital Circuits (I) THE INVERTER Lecture 11 Digital Circuits (I) THE INVERTER Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up Reading Assignment: Howe and Sodini; Chapter 5, Sections 5.1-5.3 6.12

More information

Lecture 11 Circuits numériques (I) L'inverseur

Lecture 11 Circuits numériques (I) L'inverseur Lecture 11 Circuits numériques (I) L'inverseur Outline Introduction to digital circuits The inverter NMOS inverter with resistor pull-up 6.12 Spring 24 Lecture 11 1 1. Introduction to digital circuits:

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits October 25, 25 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC

Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITL ELECTRONICS B DIGITL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look

CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter. CMOS Inverter: A First Look CPE/EE 427, CPE 527 VLSI Design I CMOS Inverter Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic CMOS Inverter: A First Look C L 9/11/26 VLSI

More information

Digital logic families

Digital logic families Digital logic families Digital logic families Digital integrated circuits are classified not only by their complexity or logical operation, but also by the specific circuit technology to which they belong.

More information

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005

Lecture 12 - Digital Circuits (I) The inverter. October 20, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 12-1 Lecture 12 - Digital Circuits (I) The inverter October 2, 25 Contents: 1. Introduction to digital electronics: the inverter 2. NMOS inverter

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F3 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 29/06/2011-1 ATLCE - F3-2011

More information

I. Digital Integrated Circuits - Logic Concepts

I. Digital Integrated Circuits - Logic Concepts I. Digital Integrated Circuits - Logic Concepts. Logic Fundamentals: binary mathematics: only operate on and (oolean algebra) simplest function -- inversion = symbol for the inverter INPUT OUTPUT EECS

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic.

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic. Digital Electronics Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region Positive Logic Logic 1 Negative Logic Logic 0 Voltage Transition Region Transition

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 8 NMOS gates Ch06L8-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline NMOS (n-channel based MOSFETs based circuit) NMOS Features

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001 IC Logic Families Wen-Hung Liao, Ph.D. 5/16/2001 Digital IC Terminology Voltage Parameters: V IH (min): high-level input voltage, the minimum voltage level required for a logic 1 at an input. V IL (max):

More information

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering MEMS1082 Chapter 6 Digital Circuit 6-6 TTL and CMOS ICs, TTL and CMOS output circuit When the upper transistor is forward biased and the bottom transistor is off, the output is high. The resistor, transistor,

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

CD4538 Dual Precision Monostable

CD4538 Dual Precision Monostable CD4538 Dual Precision Monostable General Description The CD4538BC is a dual, precision monostable multivibrator with independent trigger and reset controls. The device is retriggerable and resettable,

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

General Structure of MOS Inverter

General Structure of MOS Inverter General Structure of MOS Inverter Load V i Drive Department of Microelectronics and omputer Science, TUL Digital MOS ircuits Families Digital MOS ircuits PMOS NMOS MOS BiMOS Depletion mode load Enhancement

More information

CD4069, CD4069-SMD Inverter Circuits

CD4069, CD4069-SMD Inverter Circuits CD4069, CD4069-SMD Inverter Circuits General Description The CD4069UB consists of six inverter circuits and is manufactured using complementary MOS (CMOS) to achieve wide power supply operating range,

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. March 22, 2001

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. March 22, 2001 6.12 - Microelectronic Devices and Circuits - Spring 21 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits March 22, 21 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

Digital circuits. Bởi: Sy Hien Dinh

Digital circuits. Bởi: Sy Hien Dinh Digital circuits Bởi: Sy Hien Dinh This module presents the basic concepts of MOSFET digital logic circuits. We will examine NMOS logic circuits, which contain only n-channel transistors, and complementary

More information

CMOS Circuits CONCORDIA VLSI DESIGN LAB

CMOS Circuits CONCORDIA VLSI DESIGN LAB CMOS Circuits 1 Combination and Sequential 2 Static Combinational Network CMOS Circuits Pull-up network-pmos Pull-down network-nmos Networks are complementary to each other When the circuit is dormant,

More information

Interfacing Virtex-6 FPGAs with 3.3V I/O Standards Author: Austin Tavares

Interfacing Virtex-6 FPGAs with 3.3V I/O Standards Author: Austin Tavares Application Note: Virtex-6 s XAPP899 (v1.1) February 5, 2014 Interfacing Virtex-6 s with I/O Standards Author: Austin Tavares Introduction All the devices in the Virtex -6 family are compatible with and

More information

UNISONIC TECHNOLOGIES CO., LTD CD4069

UNISONIC TECHNOLOGIES CO., LTD CD4069 UNISONIC TECHNOLOGIES CO., LTD CD4069 INVERTER CIRCUITS DESCRIPTION The UTC CD4069 consists of six inverter circuits and is manufactured using complementary MOS (CMOS) to achieve wide power supply operating

More information

CD4069UBC Inverter Circuits

CD4069UBC Inverter Circuits CD4069UBC Inverter Circuits General Description The CD4069UB consists of six inverter circuits and is manufactured using complementary MOS (CMOS) to achieve wide power supply operating range, low power

More information

Quad 2-Input NAND Gate High-Voltage Silicon-Gate CMOS

Quad 2-Input NAND Gate High-Voltage Silicon-Gate CMOS TECHNICAL DATA Quad 2-Input NAND Gate High-oltage Silicon-Gate CMOS The NAND gates provide the system designer with direct emplementation of the NAND function. Operating oltage Range:.0 to 18 Maximum input

More information

Support notes for Section C. Interconnections and signal Integrity. Politecnico di Torino. Interconnections for high-speed digital circuits

Support notes for Section C. Interconnections and signal Integrity. Politecnico di Torino. Interconnections for high-speed digital circuits Politecnico di Torino Course: Applied Electronics and Measurements Support notes for Section C Interconnections and signal Integrity Dante Del Corso, Part 2: Signal Integrity in Digital Circuits Contents

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

ECE 301 Digital Electronics

ECE 301 Digital Electronics ECE 301 Digital Electronics Constraints in Logic Circuit Design (Lecture #14) The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and

More information

Transistor Digital Circuits

Transistor Digital Circuits Transistor Digital Circuits Switching Transistor Model (on) (on) T n T p Controlled switch model v CT > V CTex ; T- (on); i O > 0; v O 0 v CT < V Thn ; T- (off); i O = 0; v O = V PS v CT > V Thp ; T- (off);

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Practice Homework Problems for Module 1

Practice Homework Problems for Module 1 Practice Homework Problems for Module 1 1. Unsigned base conversions (LO 1-1). (a) (2C9E) 16 to base 2 (b) (1101001) 2 to base 10 (c) (1101001) 2 to base 16 (d) (8576) 10 to base 16 (e) (A27F) 16 to base

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

CD4538BC Dual Precision Monostable

CD4538BC Dual Precision Monostable CD4538BC Dual Precision Monostable General Description The CD4538BC is a dual, precision monostable multivibrator with independent trigger and reset controls. The device is retriggerable and resettable,

More information

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 10 Lecture Title:

More information

Table 1 details the differences between the family parts to assist designers in selecting the optimal part for their design.

Table 1 details the differences between the family parts to assist designers in selecting the optimal part for their design. FEATURES LVPECL Outputs Optimized for Very Low Phase Noise (-165dBc/Hz) Up to 800MHz Bandwidth Selectable 1, 2 Output Selectable Enable Logic 3.0V to 3.6V Operation RoHS Compliant Pb Free Packages BLOCK

More information

Digital Electronics. Assign Ò1Ó and Ò0Ó to a range of voltage (or current), with a separation that minimizes a transition region.

Digital Electronics. Assign Ò1Ó and Ò0Ó to a range of voltage (or current), with a separation that minimizes a transition region. Digital Electronics Assign Ò1Ó and Ò0Ó to a range of voltage (or current), with a separation that minimizes a transition region Positive Logic Logic 1 Negative Logic Logic 0 Voltage Transition egion Transition

More information

Written Examination on. Wednesday October 17, 2007,

Written Examination on. Wednesday October 17, 2007, Written Examination on Wednesday October 17, 2007, 08.00-12.00 The textbook and a calculator are allowed on the examination 1. The following logical function is given Q= AB( CD+ CE) + F a. Draw the schematic

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Basic Logic Circuits

Basic Logic Circuits Basic Logic Circuits Required knowledge Measurement of static characteristics of nonlinear circuits. Measurement of current consumption. Measurement of dynamic properties of electrical circuits. Definitions

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Teaser. Pass Transistor Logic. Identify Function.

Lecture Outline. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Teaser. Pass Transistor Logic. Identify Function. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lecture Outline! Pass Transistor Logic! Performance Lec 15: March 1, 2018 Combination Logic: Pass Transistor Logic, and Performance 2 Pass Transistor

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

Classification of Digital Circuits

Classification of Digital Circuits Classification of Digital Circuits Combinational logic circuits. Output depends only on present input. Sequential circuits. Output depends on present input and present state of the circuit. Combinational

More information

MADR TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features.

MADR TR. Quad Driver for GaAs FET or PIN Diode Switches and Attenuators Rev. 4. Functional Schematic. Features. Features High Voltage CMOS Technology Four Channel Positive Voltage Control CMOS device using TTL input levels Low Power Dissipation Low Cost Lead-Free SOIC-16 Plastic Package Halogen-Free Green Mold Compound

More information

ECE 3160 DIGITAL SYSTEMS LABORATORY

ECE 3160 DIGITAL SYSTEMS LABORATORY ECE 3160 DIGITAL SYSTEMS LABORATORY Experiment 2 Voltage and Current Characteristics of HC Device Electronics Reference: Wakerly chapter 3. Objectives: 1. To measure certain performance and voltage/current

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

M74HCT04. Hex inverter. Features. Description

M74HCT04. Hex inverter. Features. Description Hex inverter Features High speed: t PD = 11 ns (typ.) at =4.5V Low power dissipation: I CC = 1 μa (max.) at T A =25 C Compatible with TTL outputs: V IH = 2 V (min.) V IL = 0.8 V (max) Balanced propagation

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS inverters http://www.eet.bme.hu/~poppe/miel/en/13-mosfet2.pptx http://www.eet.bme.hu Overview of MSOFET types 13-11-2014 Microelectronics BSc course, MOS inverters András

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

USB1T11A Universal Serial Bus Transceiver

USB1T11A Universal Serial Bus Transceiver Universal Serial Bus Transceiver General Description The USB1T11A is a one chip generic USB transceiver. It is designed to allow 5.0V or 3.3V programmable and standard logic to interface with the physical

More information

QUICKSWITCH BASICS AND APPLICATIONS

QUICKSWITCH BASICS AND APPLICATIONS QUICKSWITCH GENERAL INFORMATION QUICKSWITCH BASICS AND APPLICATIONS INTRODUCTION The QuickSwitch family of FET switches was pioneered in 1990 to offer designers products for high-speed bus connection and

More information

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD?

Improved Inverter: Current-Source Pull-Up. MOS Inverter with Current-Source Pull-Up. What else could be connected between the drain and V DD? Improved Inverter: Current-Source Pull-Up MOS Inverter with Current-Source Pull-Up What else could be connected between the drain and? Replace resistor with current source I SUP roc i D v IN v OUT Find

More information

CD4541BC Programmable Timer

CD4541BC Programmable Timer CD4541BC Programmable Timer General Description The CD4541BC Programmable Timer is designed with a 16-stage binary counter, an integrated oscillator for use with an external capacitor and two resistors,

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

INTEGRATED CIRCUITS. PCA channel I 2 C multiplexer and interrupt logic. Product data Supersedes data of 2001 May 07.

INTEGRATED CIRCUITS. PCA channel I 2 C multiplexer and interrupt logic. Product data Supersedes data of 2001 May 07. INTEGRATED CIRCUITS 2-channel I 2 C multiplexer and interrupt logic Supersedes data of 2001 May 07 2002 Mar 28 The pass gates of the multiplexer are constructed such that the V DD pin can be used to limit

More information

Telecommunication Electronics

Telecommunication Electronics Politecnico di Torino ICT School Telecommunication Electronics C4 Signal conditioning» Protection circuits» Amplifiers» Anti-aliasing filter» Multiplexer» Sample/Hold Lesson C4: signal conditioning Protection

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES EXPERIMENT 1 FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES REFERENCES Analysis and Design of Digital Integrated Circuits, Hodges and Jackson, pages 6-7 Experiments in Microprocessors

More information

M74HC14. Hex Schmitt inverter. Features. Description

M74HC14. Hex Schmitt inverter. Features. Description Hex Schmitt inverter Features High speed: t PD =12 ns (typ.) at CC = 6 Low power dissipation: I CC = 1 μa (max.) at T A =25 C High noise immunity: H = 1.2 (typ.) at CC = 6 Symmetrical output impedance:

More information

CLK_EN CLK_SEL. Q3 THIN QFN-EP** (4mm x 4mm) Maxim Integrated Products 1

CLK_EN CLK_SEL. Q3 THIN QFN-EP** (4mm x 4mm) Maxim Integrated Products 1 19-2575; Rev 0; 10/02 One-to-Four LVCMOS-to-LVPECL General Description The low-skew, low-jitter, clock and data driver distributes one of two single-ended LVCMOS inputs to four differential LVPECL outputs.

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Electronic Circuits Spring 2007

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Electronic Circuits Spring 2007 assachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.002 Electronic Circuits Spring 2007 Lab 2: OSFET Inverting Amplifiers & FirstOrder Circuits Handout S07034

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

FXWA9306 Dual Bi-Directional I 2 C-Bus and SMBus Voltage- Level Translator

FXWA9306 Dual Bi-Directional I 2 C-Bus and SMBus Voltage- Level Translator FXWA9306 Dual Bi-Directional I 2 C-Bus and SMBus Voltage- Level Tralator Features 2-Bit Bi-Directional Tralator for SDA and SCL Lines in Mixed-Mode I 2 C-Bus Applicatio Standard-Mode, Fast-Mode, and Fast-Mode-Plus

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Two Problems. Outline. Output not go to Rail

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Two Problems. Outline. Output not go to Rail ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 6: September 19, 2011 Restoration Today How do we make sure logic is robust Can assemble into any (feed forward) graph Can

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

MOS IC Amplifiers. Token Ring LAN JSSC 12/89

MOS IC Amplifiers. Token Ring LAN JSSC 12/89 MO IC Amplifiers MOFETs are inferior to BJTs for analog design in terms of quality per silicon area But MO is the technology of choice for digital applications Therefore, most analog portions of mixed-signal

More information

USB1T20 Universal Serial Bus Transceiver

USB1T20 Universal Serial Bus Transceiver Features Complies with Universal Serial Bus Specification 2.0 for FS/LS Applications Utilizes Digital Inputs and Outputs to Transmit and Receive USB Cable Data Supports 12Mbit/s Full Speed (FS) and 1.5Mbit/s

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information