Transistor Digital Circuits

Size: px
Start display at page:

Download "Transistor Digital Circuits"

Transcription

1 Transistor Digital Circuits

2 Switching Transistor Model (on) (on) T n T p Controlled switch model v CT > V CTex ; T- (on); i O > 0; v O 0 v CT < V Thn ; T- (off); i O = 0; v O = V PS v CT > V Thp ; T- (off); i O = 0; v O = VPS v CT < V CTex ; T- (on); i O > 0; v O 0 The controlled switches are complementary

3 MOSFET DIGITAL CIRCUITS Ideal controlled switch model Implementation with MOSFET

4 Logic inverter 0V logic 0 V PS logic 1 v A T n v y V CoL = 0 (off) V PS V CoH = V PS (on) 0

5 Logic inverter logic 0-0V logic 1 - V PS T n and R T p and R

6 Logic invertor: MOSFET and R n -type channel MOSFET V PS p -type channel MOSFET V PS A R T n Y A T p R Y

7 Critical analysis of the logic inverter With T n and R Disadvantage elimination: R as small as possible, ideal R 0;

8 Critical analysis of the inverter witht n and R Disadvantage elimination: R as small as possible, ideal R 0; R as large as possible, ideal R Solution: replacement of R with a controlled switch

9 Two possible solutions: complementary switches same input complementary inputs same switch CMOS MOS transitors TTL BJT transitors and R

10 CMOS Logic Inverter v GSn =v I v GSp =v I -V DD

11 Logic function NAND, NOR a) What is the truth table? b) What are the states of the switches? c) How can we eliminate the disadvantages due to the presence of R? Problems

12 Logic function NAND, NOR

13 CMOS NAND Problem a) What is the truth table? b) What are the states of all transistors?

14 CMOS NAND

15 CMOS NOR CMOS AND

16 Transfer characteristic of the CMOS inverter Ideal (input) Real 16

17 Logic circuit 1 out in Logic circuit 2 Noise margins NM NM H L = V = V OH min ILmax V V IH min OLmax NM H NM L Voltage levels and noise margins for CMOS logic family supplied at +5V = 4.5V 3.5V = 1V = 1.5V 0.5V = 1V

18 BJT DIGITAL CIRCUITS RTL technology TTL technology

19 Switching BJT, digital circuit Resistor to limit the base current T (off), if v Co < 0.6V T (on), if i B > i Bsat i i B Cex = v = Co V V R PS B Bsat BE v R C i = = i v CEsat Cex Co 0.7 R = B V PS 0.2 R C

20 Problem R B = 100k ; = 150; V PS R C = 5V = 2k ; a) What is the state of T if v Co =0V? What is the v CE value? b) What is the state of T if v Co =5V? What is the v CE value? c) What is the v Co range to keep T off? What about to keep it in saturation? d) If 0V => logic 0 and 5V => logic 1, what is the logic function of the circuit if {0; 5}[V] v Co

21 Bipolar Digital Circuits Inverter RTL Technology NOR

22 Problem a) What is the schematic for the 2-input NAND gate in a RTL technology? b) What is the truth table? c) What are the states of the transistors?

23 TTL: Transistor-Transistor Logic Logic Inverter from technological reasons in TTL integrated circuits the use of only npn type transistors is preferred identical transistors but complementary control v I1, v I2?

24 Standard TTL gate

25 Voltage levels and noise margins for TTL family Supply only with 5V

26 TTL sub-families with improved performances Schottky transistor Schottky diode: a metal to semiconductor junction, in conduction 0,5V v BE =0,8V; v BC =0,5V; v CE =0,3V Schottky transistor doesn't enter saturation mode increases the commutation speed

27 Simplified structure of a 2-input NAND gate from the Low-power Schottky family

28 Logic Gates NOT AND AB OR A+B

29 Logic Gates cont. NAND NOR A + B XOR

30 Analog Switch (AS) The AS is an electronic circuit that allows or blocks an input signal to pass to the output of the circuit according to a control signal. v Co - two levels: V CoL <V Th and V CoH >V CTex v Co =V CoL ; T n -(off) ; v O =0 v Co =V CoH ; T n - (exc) ; v O = v I no power consumption

31 Bidirectional AS with two complementary controlled switches v Co =V CoL ; AS - (off) ; v Co =V CoH ; AS - (on) ; V Thp V Thn

32 AS CMOS implementation AS bidirectional transffer C=0; AS - off; v O =0 C=1; AS - on; v O =v I V CoH =V DD ; V CoL =V SS v I (V SS ; V DD ) IC transmission gates; supplied at 10V, r on =150Ω DG400 (Siliconics) r on =20Ω

33 Application: three-channel MUX What is the output voltage in each of the three situations? Justify the answer.

34 Application: three-channel MUX

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Lecture 9 Transistors

Lecture 9 Transistors Lecture 9 Transistors Physics Transistor/transistor logic CMOS logic CA 1947 http://www.extremetech.com/extreme/164301-graphenetransistors-based-on-negative-resistance-could-spell-theend-of-silicon-and-semiconductors

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

Basic Characteristics of Digital ICs

Basic Characteristics of Digital ICs ECEN202 Section 2 Characteristics of Digital IC s Part 1: Specification of characteristics An introductory look at digital IC s: Logic families Basic construction and operation Operating characteristics

More information

Digital logic families

Digital logic families Digital logic families Digital logic families Digital integrated circuits are classified not only by their complexity or logical operation, but also by the specific circuit technology to which they belong.

More information

Abu Dhabi Men s College, Electronics Department. Logic Families

Abu Dhabi Men s College, Electronics Department. Logic Families bu Dhabi Men s College, Electronics Department Logic Families There are several different families of logic gates. Each family has its capabilities and limitations, its advantages and disadvantages. The

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Digital Integrated Circuits - Logic Families (Part II)

Digital Integrated Circuits - Logic Families (Part II) Digital Integrated Circuits - Logic Families (Part II) MOSFET Logic Circuits MOSFETs are unipolar devices. They are simple, small in size, inexpensive to fabricate and consume less power. MOS fabrication

More information

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering MEMS1082 Chapter 6 Digital Circuit 6-6 TTL and CMOS ICs, TTL and CMOS output circuit When the upper transistor is forward biased and the bottom transistor is off, the output is high. The resistor, transistor,

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001 IC Logic Families Wen-Hung Liao, Ph.D. 5/16/2001 Digital IC Terminology Voltage Parameters: V IH (min): high-level input voltage, the minimum voltage level required for a logic 1 at an input. V IL (max):

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 8 NMOS gates Ch06L8-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline NMOS (n-channel based MOSFETs based circuit) NMOS Features

More information

Lecture 7: Digital Logic

Lecture 7: Digital Logic Lecture 7: Digital Logic Last time we introduced the concept of digital electronics i.e., one identifies a range of voltages with the value, and another range with the value But we didn t specify these

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph ENG2410 Digital Design CMOS Technology Fall 2017 S. reibi School of Engineering University of Guelph The Transistor Revolution First transistor Bell Labs, 1948 Bipolar logic 1960 s Intel 4004 processor

More information

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 10 Lecture Title:

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

Shorthand Notation for NMOS and PMOS Transistors

Shorthand Notation for NMOS and PMOS Transistors Shorthand Notation for NMOS and PMOS Transistors Terminal Voltages Mode of operation depends on V g, V d, V s V gs = V g V s V gd = V g V d V ds = V d V s = V gs - V gd Source and drain are symmetric diffusion

More information

ELEC 350L Electronics I Laboratory Fall 2012

ELEC 350L Electronics I Laboratory Fall 2012 ELEC 350L Electronics I Laboratory Fall 2012 Lab #9: NMOS and CMOS Inverter Circuits Introduction The inverter, or NOT gate, is the fundamental building block of most digital devices. The circuits used

More information

Practice Homework Problems for Module 1

Practice Homework Problems for Module 1 Practice Homework Problems for Module 1 1. Unsigned base conversions (LO 1-1). (a) (2C9E) 16 to base 2 (b) (1101001) 2 to base 10 (c) (1101001) 2 to base 16 (d) (8576) 10 to base 16 (e) (A27F) 16 to base

More information

ECE380 Digital Logic. Logic values as voltage levels

ECE380 Digital Logic. Logic values as voltage levels ECE380 Digital Logic Implementation Technology: NMOS and PMOS Transistors, CMOS logic gates Dr. D. J. Jackson Lecture 13-1 Logic values as voltage levels V ss is the minimum voltage that can exist in the

More information

Classification of Digital Circuits

Classification of Digital Circuits Classification of Digital Circuits Combinational logic circuits. Output depends only on present input. Sequential circuits. Output depends on present input and present state of the circuit. Combinational

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2000): 48 million IBM PowerPC 750FX (2002): 38 million IBM/Apple

More information

Transistor Digital Circuits

Transistor Digital Circuits Recapitulation Transistor Digital Circuits The transistor Operating principle and regions Utilization of the transistor Transfer characteristics, symbols Controlled switch model BJT digital circuits MOSFET

More information

INTRODUCTION TO DIGITAL CONCEPT

INTRODUCTION TO DIGITAL CONCEPT COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE 421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE 422) INTRODUCTION TO DIGITAL CONCEPT Digital and Analog Quantities Digital relates to data in the form of digits,

More information

Logic diagram: a graphical representation of a circuit

Logic diagram: a graphical representation of a circuit LOGIC AND GATES Introduction to Logic (1) Logic diagram: a graphical representation of a circuit Each type of gate is represented by a specific graphical symbol Truth table: defines the function of a gate

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS inverters http://www.eet.bme.hu/~poppe/miel/en/13-mosfet2.pptx http://www.eet.bme.hu Overview of MSOFET types 13-11-2014 Microelectronics BSc course, MOS inverters András

More information

UNIT E1 (Paper version of on-screen assessment) A.M. WEDNESDAY, 8 June hour

UNIT E1 (Paper version of on-screen assessment) A.M. WEDNESDAY, 8 June hour Candidate Name GCSE 46/0 Centre Number Candidate Number 0 ELECTRONICS UNIT E (Paper version of on-screen assessment) A.M. WEDNESDAY, 8 June 20 hour For s use 46 0000 Total Mark ADDITIONAL MATERIALS Information

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITAL ELECTRONICS B DIGITAL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

SEMICONDUCTOR ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS. Class XII : PHYSICS WORKSHEET

SEMICONDUCTOR ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS. Class XII : PHYSICS WORKSHEET SEMICONDUCT ELECTRONICS: MATERIALS, DEVICES AND SIMPLE CIRCUITS Class XII : PHYSICS WKSHEET 1. How is a n-p-n transistor represented symbolically? (1) 2. How does conductivity of a semiconductor change

More information

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005

Lecture 13 - Digital Circuits (II) MOS Inverter Circuits. October 25, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 13-1 Lecture 13 - Digital Circuits (II) MOS Inverter Circuits October 25, 25 Contents: 1. NMOS inverter with resistor pull-up (cont.) 2. NMOS

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

EXPERIMENT 4 CMOS Inverter and Logic Gates

EXPERIMENT 4 CMOS Inverter and Logic Gates İzmir University of Economics EEE 332 Digital Electronics Lab A. Background EXPERIMENT 4 CMOS Inverter and Logic Gates CMOS (Complementary MOS) technology uses tarnsistors together with transistors to

More information

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS EXPERIMENT 12: DIGITAL LOGIC CIRCUITS The purpose of this experiment is to gain some experience in the use of digital logic circuits. These circuits are used extensively in computers and all types of electronic

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap MTLE-6120: Advanced Electronic Properties of Materials 1 Semiconductor transistors for logic and memory Reading: Kasap 6.6-6.8 Vacuum tube diodes 2 Thermionic emission from cathode Electrons collected

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 3 RTL and DTL Gates Ch06L3-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline Resistor transistor logic (RTL) RTL Circuit Characteristics

More information

Digital circuits. Bởi: Sy Hien Dinh

Digital circuits. Bởi: Sy Hien Dinh Digital circuits Bởi: Sy Hien Dinh This module presents the basic concepts of MOSFET digital logic circuits. We will examine NMOS logic circuits, which contain only n-channel transistors, and complementary

More information

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs

Integrated Circuit Amplifiers. Comparison of MOSFETs and BJTs Integrated Circuit Amplifiers Comparison of MOSFETs and BJTs 17 Typical CMOS Device Parameters 0.8 µm 0.25 µm 0.13 µm Parameter NMOS PMOS NMOS PMOS NMOS PMOS t ox (nm) 15 15 6 6 2.7 2.7 C ox (ff/µm 2 )

More information

Logic Families. A-PDF Split DEMO : Purchase from to remove the watermark. 5.1 Logic Families Significance and Types. 5.1.

Logic Families. A-PDF Split DEMO : Purchase from  to remove the watermark. 5.1 Logic Families Significance and Types. 5.1. A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 5 Logic Families Digital integrated circuits are produced using several different circuit configurations and production technologies.

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Electronics EECE2412 Spring 2017 Exam #2

Electronics EECE2412 Spring 2017 Exam #2 Electronics EECE2412 Spring 2017 Exam #2 Prof. Charles A. DiMarzio Department of Electrical and Computer Engineering Northeastern University 30 March 2017 File:12198/exams/exam2 Name: : General Rules:

More information

6. Field-Effect Transistor

6. Field-Effect Transistor 6. Outline: Introduction to three types of FET: JFET MOSFET & CMOS MESFET Constructions, Characteristics & Transfer curves of: JFET & MOSFET Introduction The field-effect transistor (FET) is a threeterminal

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

R a) Explain the operation of RC high-pass circuit when exponential input is applied.

R a) Explain the operation of RC high-pass circuit when exponential input is applied. SET - 1 1. a) Explain the operation of RC high-pass circuit when exponential input is applied. 2x V ( e 1) V b) Verify V2 = = tanhx for a symmetrical square wave applied to a RC low 2x 2 ( e + 2 pass circuit.

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory Lecture #2 by Dr. Choon Kim CSE Department, UCSD chk034@eng.ucsd.edu Lecture #2 1 Digital Technologies CPU(Central Processing Unit) GPU(Graphics Processing

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Lecture 2: Digital Logic Basis

Lecture 2: Digital Logic Basis Lecture 2: Digital Logic Basis Xufeng Kou School of Information Science and Technology ShanghaiTech University 1 Outline Truth Table Basic Logic Operation and Gates Logic Circuits NOR Gates and NAND Gates

More information

Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC

Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITL ELECTRONICS B DIGITL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Analog Electronics. Electronic Devices, 9th edition Thomas L. Floyd Pearson Education. Upper Saddle River, NJ, All rights reserved.

Analog Electronics. Electronic Devices, 9th edition Thomas L. Floyd Pearson Education. Upper Saddle River, NJ, All rights reserved. Analog Electronics BJT Structure The BJT has three regions called the emitter, base, and collector. Between the regions are junctions as indicated. The base is a thin lightly doped region compared to the

More information

ET475 Electronic Circuit Design I [Onsite]

ET475 Electronic Circuit Design I [Onsite] ET475 Electronic Circuit Design I [Onsite] Course Description: This course covers the analysis and design of electronic circuits, and includes a laboratory that utilizes computer-aided software tools for

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

Chapter 11 Output Stages

Chapter 11 Output Stages 1 Chapter 11 Output Stages Learning Objectives 2 1) The classification of amplifier output stages 2) Analysis and design of a variety of output-stage types 3) Overview of power amplifiers Introduction

More information

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B

Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Preliminary Exam, Fall 2013 Department of Electrical and Computer Engineering University of California, Irvine EECS 170B Problem 1. Consider the following circuit, where a saw-tooth voltage is applied

More information

EE 330 Lecture 21. Bipolar Process Flow

EE 330 Lecture 21. Bipolar Process Flow EE 330 Lecture 21 Bipolar Process Flow Exam 2 Friday March 9 Exam 3 Friday April 13 Review from Last Lecture Simplified Multi-Region Model I C βi B JSA IB β V 1 V E e V CE BE V t AF V BE >0.4V V BC

More information

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam

Georgia Institute of Technology School of Electrical and Computer Engineering. Midterm Exam Georgia Institute of Technology School of Electrical and Computer Engineering Midterm Exam ECE-3400 Fall 2013 Tue, September 24, 2013 Duration: 80min First name Solutions Last name Solutions ID number

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS DIGITAL SYSTEM I (DKT122) LAB 2: LOGIC GATE QUESTION & ANSWER SHEET REPORT MOHAMAD RIZAL BIN ABDUL REJAB SITI ZARINA BINTI MD NAZIRI & SPECIAL THANKS TO : ZULKIFLI HUSIN MOHAMMAD

More information

Bipolar Junction Transistors (BJTs)

Bipolar Junction Transistors (BJTs) C H A P T E R 6 Bipolar Junction Transistors (BJTs) Figure 6.1 A simplified structure of the npn transistor and pnp transistor. Table 6.1: BJT modes of Operation Mode Cutoff Active Saturation EBJ Reverse

More information

IFB270 Advanced Electronic Circuits

IFB270 Advanced Electronic Circuits IFB270 Advanced Electronic Circuits Chapter 9: FET amplifiers and switching circuits Prof. Manar Mohaisen Department of EEC Engineering Review of the Precedent Lecture Review of basic electronic devices

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES EXPERIMENT 1 FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES REFERENCES Analysis and Design of Digital Integrated Circuits, Hodges and Jackson, pages 6-7 Experiments in Microprocessors

More information

Other Electronic Devices

Other Electronic Devices Other Electronic Devices 1 Contents Field-Effect Transistors(FETs) - JFETs - MOSFETs Insulate Gate Bipolar Transistors(IGBTs) H-bridge driver and PWM Silicon-Controlled Rectifiers(SCRs) TRIACs Device Selection

More information

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET Ch. 13 MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor : I D D-mode E-mode V g The gate oxide is made of dielectric SiO 2 with e = 3.9 Depletion-mode operation ( 공핍형 ): Using an input gate voltage

More information

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate

Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate Lab 7 (Hands-On Experiment): CMOS Inverter, NAND Gate, and NOR Gate EECS 170LB, Wed. 5:00 PM TA: Elsharkasy, Wael Ryan Morrison Buu Truong Jonathan Lam 03/05/14 Introduction The purpose of this lab is

More information

BiCMOS Circuit Design

BiCMOS Circuit Design BiCMOS Circuit Design 1. Introduction to BiCMOS 2. Process, Device, and Modeling 3. BiCMOS Digital Circuit Design 4. BiCMOS Analog Circuit Design 5. BiCMOS Subsystems and Practical Considerations Tai-Haur

More information

Q1. Explain the construction and principle of operation of N-Channel and P-Channel Junction Field Effect Transistor (JFET).

Q1. Explain the construction and principle of operation of N-Channel and P-Channel Junction Field Effect Transistor (JFET). Q. Explain the construction and principle of operation of N-Channel and P-Channel Junction Field Effect Transistor (JFET). Answer: N-Channel Junction Field Effect Transistor (JFET) Construction: Drain(D)

More information

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these

Objective Questions. (a) Light (b) Temperature (c) Sound (d) all of these Objective Questions Module 1: Introduction 1. Which of the following is an analog quantity? (a) Light (b) Temperature (c) Sound (d) all of these 2. Which of the following is a digital quantity? (a) Electrical

More information

EXPERIMENT 5 CURRENT AND VOLTAGE CHARACTERISTICS OF BJT

EXPERIMENT 5 CURRENT AND VOLTAGE CHARACTERISTICS OF BJT EXPERIMENT 5 CURRENT AND VOLTAGE CHARACTERISTICS OF BJT 1. OBJECTIVES 1.1 To practice how to test NPN and PNP transistors using multimeter. 1.2 To demonstrate the relationship between collector current

More information

36 Logic families and

36 Logic families and Unit 4 Outcomes 1. Demonstrate an understanding of logic families and their terms used in their specifications 2. Demonstrate an understanding of time division multiplex (TDM) 3. Demonstrate an understanding

More information

Digital CMOS Logic Circuits

Digital CMOS Logic Circuits Digital CMOS Logic Circuits In summary, this chapter provides a reasonably comprehensive and in-depth of CMOS digital integrated-circuit design, perhaps the most significant area (at least in terms of

More information

Transistors, Gates and Busses 3/21/01 Lecture #

Transistors, Gates and Busses 3/21/01 Lecture # Transistors, Gates and Busses 3/2/ Lecture #8 6.7 The goal for today is to understand a bit about how a computer actually works: how it stores, adds, and communicates internally! How transistors make gates!

More information

BOOLEAN ALGEBRA AND LOGIC FAMILIES

BOOLEAN ALGEBRA AND LOGIC FAMILIES C H A P T E R 7 Learning Objectives Unique Feature of Boolean Algebra Laws of Boolean Algebra Equivalent Switching Circuits DeMorgan s Theorem s The Sum-of-Products (SOP) Form The Standard SOP Form The

More information

Chapter 15 Integrated Circuits

Chapter 15 Integrated Circuits Chapter 15 Integrated Circuits SKEE1223 Digital Electronics Mun im/arif/izam FKE, Universiti Teknologi Malaysia December 8, 2015 Overview 1 Basic IC Characteristics Packaging Logic Families Datasheets

More information

Features V DD 4 STROBE MOS. Bipolar. Sub 8 GND V EE OUT 8

Features V DD 4 STROBE MOS. Bipolar. Sub 8 GND V EE OUT 8 8-Bit Serial-Input Latched Drivers Final Information General Description BiCMOS technology gives the family flexibility beyond the reach of standard logic buffers and power driver arrays. These devices

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information