Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC

Size: px
Start display at page:

Download "Digital Electronics - B1 18/03/ /03/ DigElnB DDC. 18/03/ DigElnB DDC. 18/03/ DigElnB DDC"

Transcription

1 Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITL ELECTRONICS B DIGITL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits Counters and x-stable circuits» Static and dynamic parameters» Interfacing and compatibility» Power consumption» BJT and CMOS logic circuits» Examples of data sheets 18/03/ DigElnB DDC 18/03/ DigElnB DDC Lesson B1: logic devices Power consumption Static power consumption Dynamic power consumption Pass gates Bipolar logic families MOS logic families Interfacing Examples of data sheets Reference 1: Storey chap 14 Reference 2: The operation of any module requires some energy Part is used for internal operations» Useful Part is used for external output signals» Part useful (Shannon theorem!), part wasted (EMI) Part becomes heat» Wasted The energy comes from the power supply systems Usually a fixed power supply voltage (Val), such as 5 V, 3,3 V, 2,5 V, The measure or power consumption is the current sink from the power supply system 18/03/ DigElnB DDC 18/03/ DigElnB DDC Why should we care of power? Static power: P S High currents involve: Thick wires/tracks (with large size, weight) Generation of electromagnetic interferences (EMI) Large power supply units Fixed sets: weight, size, cost Portable sets: reduced duration of batteries More heat to dissipate in the environment major limit for integration density Need for special packaging and cooling Environment ecology issues (marginal) IN Power used with no state change lmost constant current from power supply Changes with temperature and supply voltage Val Modeled as a DC current (Idc) from Val to GND Idc MODULO DIGITLE GND OUT GND 18/03/ DigElnB DDC 18/03/ DigElnB DDC 2009 DDC Storey 1

2 Dynamic power: P D Evaluation of dynamic power Power used to get a state change (H L o L H) Current I L, to charge/discharge the output capacitor Flows only on state changes IN MODULO DIGITLE GND I L GND OUT C L H H L Charge in a capacitor: Q = C*V Current I = charge moved in 1 If the capacitor is charged/discharged F times per second, that corresponds to a current flow I = F*C*V Power P D = V*I Change the voltage V on a capacitor C F time/sec requires a power Val P D = V*I = F*V*V*C P D = F C V 2 depends from V and C (technology!) In Gnd IL Gnd Out C 18/03/ DigElnB DDC 18/03/ DigElnB DDC Static and dynamic power How to reduce power? Static power P S depends on device technology and resistive loads Low power structures: almost 0 power consumption in static conditions (no state change) Dynamic power P D depends mainly on capacitive loads (and, to a smaller exent, on device technology) Low power integrated circuits Mainly static power High speed integrated circuits Mainly dynamic power Power: P D = F C V 2 Reduce switching rate F Same number of state changes, more time» No benefit in terms of dynamic power» ctually worse: static power needed for more time lgorithms with less logic state changes Reduce capacitance C Technology improvements (smaller devices) Reduce the logic excursion V H V L square term, heavy impact Keep noise margins (LV logic families, differential signalling) 18/03/ DigElnB DDC 18/03/ DigElnB DDC The speed * power product P D x T P and technology n ideal logic circuit: No power dissipation: P D = 0 No delay: T P = 0 real logic circuit: Power and delay as low as possible P D and T P depends on parasitic capacitance C and on the charge/discharge currents of capacitors C depends on technology I is a designer s choice» High currents: high speed, high power» Low currents: low speed, low power For a given technology P D * T P product (of a single gate) is fixed» Hyperbole in the (P D, T P ) diagram The actual quality parameter for a technology is power * delay product (P D * T P ) Improving the technology Reduced C Reduced V (without impact on noise margin) Lower power dissipation Lower delay 18/03/ DigElnB DDC 18/03/ DigElnB DDC 2009 DDC Storey 2

3 P D x T P vs technology Power consumption in R/SW gates T P Slow circuits (high T P ) Fast circuits (low T P ) Slow, low power (low P D ) Hyperboles T P * P D = K Technology improvements Fast, high power (high P D ) P D Static condtitions, no load H state : SW open current = 0, power = 0» Only small leakage current L state : current = /R PU, power P S = 2 /R PU Duty cycle 50%» Current flows for 50% of the time» verage static power P S = 2 /2R PU Duty cycle D (between 0 and 1)» verage static power P S = D 2 /2R PU Total power consumption P T = P S + P D 18/03/ DigElnB DDC 18/03/ DigElnB DDC Power consumption in CMOS gates Power vs switching rate Static conditions, no load H state, L state : current = 0, power = 0» Real devices have small leakage currents Dinamic conditions P D = C F 2 Simple circuits: most of the power consumption comes from switching dynamic power Dynamic power can be limited by blocking the clock, or lowering the clock rate power Dynamic power consumption, proportional to clock rate Total power consumption Complex, last generation circuits: most of the power consumption comes from leakage (static) Strictly related to technology 0 Static power; independent from clock rate Clock rate 18/03/ DigElnB DDC 18/03/ DigElnB DDC Power consumption trend Pass Gate - structure Logic functions which use switches in the signal path (not towards GND or Vsu) Example: 2-input multiplexer SWn closed by a 1, SWp closed by a 0» S U 0 1 B U = S* + B S S B SWp SWn U If B = *, the logic operation is exclusive OR (XOR) 18/03/ DigElnB DDC 18/03/ DigElnB DDC 2009 DDC Storey 3

4 Multiplexer with Pass Gate XOR with pass Gate pass gate 2 MOS 1 inverter Total 4 MOS B S U = x S + B x S* Pass gate 2 MOS 2 inverters Total 6 MOS S U = xor S Standard gate structure 3 x 2-input NNDs 1 inverter Total 14 MOS S B U Standard gate structure 3 x 2-input NNDs 2 inverters Total 16 MOS S U 18/03/ DigElnB DDC 18/03/ DigElnB DDC Problems with pass-gate Lesson B1: logic devices Switches use pmos and nmos in parallel Lower and more linear R ON Doubles the number of devices pass gate does not rebuild the logic levels Reduced noise margin Can be used on a single (or very few) level Interleave standard gates to rebuild logic levels llow lower device count for some functions (see previous examples) Static power consumption Dynamic power consumption Pass gates Bipolar logic families MOS logic families Interfacing Examples of data sheets Reference 1: Storey chap 14 Reference 2: 18/03/ DigElnB DDC 18/03/ DigElnB DDC Logic families We have seen that different devices use different voltages ranges for their logic levels. They also differ in other characteristics. In order to assure correct operation when gates are interconnected they are produced in families We will look briefly at a range of logic families, then concentrate on the most important ones, namely TTL and CMOS. B1.23 Logic families The switches are built with MOS or BJT devices Logic circuits are grouped in families ICs within a family has same/compatible electrical parameters Current technology focused on C-MOS families: High speed HC Low voltage LV TTL compatible HCT CT BCT LVT Bipolar families (LS, F, ) are becoming obsolete Mix of technologies in BiCMOS for highest speed 18/03/ DigElnB DDC 2009 DDC Storey 4

5 74/54 logic families Examples of 74 devices COTS SSI MSI functions Label structure 74 XX NNN or 54 XX NNN 74 XX NNN standard temperature range (0-85 C, office and consumer applications) 54 XX NNN extended temperature range ( C, automotive and space applications) XX identifies sub-family (LS, F, C,...) NNN identifies the function (OR, NND, register, ) 74F00 TTL Fast family, 4 x 2-in NND gates 54LS04 TTL-Lowpower Shottky, 6 x inverters, extented temperature range 74CT245 C-MOS dvanced Cmos Ttl compatible, 8 x bidirectional buffer, 74F245 Fast family, same functions and pinout as above, 18/03/ DigElnB DDC 18/03/ DigElnB DDC Examples of logic device pin-outs B1.27 CMOS families Standard CMOS (4000B) oldest form of CMOS now largely obsolete Slow, Vdd 3-18, Very high noise immunity CMOS with TTL pin-out (74C/HC/HCT/C/CT/LV/LVC/ ) High-speed CMOS (74HC) / TTL compatible inputs (74HCT) Low-voltage CMOS (74LV) dvanced, low-voltage CMOS (74LVC) supply voltages between 1.65 and 3.6 V considerable speed advantage compared to the 74LV series BiCMOS (74BCT), low-voltage BiCMOS (74LVT) B1.28 CMOS inputs CMOS gate protection circuitry CMOS inputs must not be left unconnected unused inputs should be tied to ground (logic 0) or to the positive supply rail (logic 1) unused inputs to an ND or NND gate should be tied high unused inputs to an OR or NOR gate should be tied low. Input threshold depends on W/L of input MOS transistors Supply voltage Two different devices (nmos, pmos) Different processing steps Difficult to get precise parameters Wide spreading of thresholds To get precise threshold Differential inputs CMOS input threshold V I GND B /03/ DigElnB DDC 2009 DDC Storey 5

6 NND CMOS structure Complex logic nmos switches in series towards GND Output = 0 all SWn closed» Both inputs = 1 pmos switches // towards Output = 1 one SWp closed» t least one input = 0 Logic function: NND I1 I2 U I 1 I 2 SW P1 SW P2 SW N1 SW N2 U Get the logic function OUT = ( * B* ) + C* + D* pply De Morgan s OUT* = ( + B) C D Closed SW bring OUT to 0 OR (+) parallel connection ND ( ) series connection B C D OUT B C D H = closed 18/03/ DigElnB DDC 18/03/ DigElnB DDC CMOS 14.5 comparison of CMOS families CMOS part of a typical CMOS data sheet B1.33 Family Standard Standard, TTL pin-out High-speed High-speed, TTL compatible dvanced dvanced, TTL compatible Low-voltage dvanced, low-voltage BiCMOS Low-voltage BiCMOS Descriptor 4000B 74CXX 74HCXX 74HCTXX 74CXX 74CTXX 74LVXX 74LVCXX 74BCTXX 74LVTXX T PD (ns) B Static power per gate (µw) Diode logic family Diode-transistor logic family DTL NND gate dd an active element to Diod Logic B1.35 B DDC Storey 6

7 Transistor-transistor logic family TTL two-input NND gate Replacing the diodes of a DTL gate with transistors B1.37 B1.38 TTL data sheet Standard TTL part of a typical TTL data sheet 14.4 Which input voltage to turn ON T4? Follow the path from Va to GND How many junction drops? EB(T1)+BC(T1)+BE(T2)+BE(T4) total 3 1 = 2 junctions ON if Va > 1.2 V Independent from supply voltage Small spreading TTL input threshold B /03/ DigElnB DDC TTL noise immunity Minimum Typical Maximum V IL 0.8 V IH 2.0 V OL V OH TTL Open Collector output use of an open collector gate with an external load noise immunity in logic 1 (high) V NIH = V OH(min) - V IH(min) = = 0.4 V noise immunity in logic 0 (low) V NIL = V IL(max) - V OL(max) = = 0.4 V B1.41 B DDC Storey 7

8 Low-power TTL (74L) a 74L00 two-input NND gate High-speed TTL (74H) 74H00 two-input NND gate Same structure as standard TTL, but higher resistor values lower currents lower power cons. lower speed Same structure as standard TTL, but lower resistor values higher currents higher power cons. higher speed B1.43 B1.44 Schottky diodes and transistors Fast diodes and transistors, with low drop (.3 V) Schottky TTL (74S) 74S00 two-input NND gate Same structure as standard TTL, but uses Schottky devices faster switching B1.45 B1.46 Low-power Schottky TTL (74LS) comparison of TTL families 74LS00 two-input NND gate Same structure as standard TTL, but combines Schottky devices with high value resistors low currents low power cons. fast switching Family Standard Low-power High-speed Schottky dvanced Schottky Low-power Schottky dvanced low-power Schottky FST Descriptor 74XX 74LXX 74HXX 74SXX 74SXX 74LSXX 74LSXX 74FXX T PD (ns) Power per gate (mw) B1.47 B DDC Storey 8

9 TTL vs C-MOS Input currents: Practically 0 for MOS / CMOS circuits (only leakage; < µ) Not 0 and asymmetric for bipolar/ttl Output stage: Symmetric for CMOS symmetric for TTL Power consumption: Mainly dynamic for CMOS circuits (depends on switching frequency) Dynamic + static for TTL Interfacing TTL and CMOS The pullup resistor provides the proper High level Same technique for any interface with different V H /03/ DigElnB DDC B1.50 TTL inputs vs CMOS inputs Emitter Coupled Logic (ECL) Unused TTL inputs left unconnected float to logical 1 If left floating, they are then very susceptible to noise Unused inputs should be tied to ground (logic 0) or to the positive supply rail (logic 1), depending on the logic function To limit input current, connect to Vcc through a resistor Unused CMOS inputs left unconnected pick static E-field, and can float to unknown state Input voltages in the transition region may damage CMOS devices (both transistors go in almost-on state high current) Even if within correct range, the logic state is undefined Never leave CMOS inputs floating Tie unused inputs to 0V or Vdd, depending on the logic function 18/03/ DigElnB DDC non-saturating logic gate. B1.52 ECL gate comparison of logic families three-input ECL OR/NOR gate Parameter TTL ECL CMOS Basic gate NND OR/NOR NND-NOR Fan-out >50 Power per gate (mw) @1 MHz Noise immunity Very good Good Excellent T PD (ns) B1.53 B DDC Storey 9

10 Integration glossary Integration level Zero scale integration (ZSI) Small scale integration (SSI) Medium scale integration (MSI) Large scale integration (LSI) Very large scale integration (VLSI) Ultra large scale integration (ULSI) Giga-scale integration (GSI) Tera-scale integration (TSI) Number of transistors Lesson B1: final test Describe static power dissipation in logic circuits. Discuss how a high speed clock can reduce power consumption. Explain the meaning of logic family Which parameters influence the threshold of CMOS logic circuits? Which parameters influence the threshold of BJT logic circuits? Which are the differences between TTL and CMOS families? B /03/ DigElnB DDC 2009 DDC Storey 10

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices

DIGITAL ELECTRONICS. Digital Electronics - B1 28/04/ DDC Storey 1. Group B: Digital circuits and devices Politecnico di Torino - ICT school Group B: Digital circuits and devices DIGITAL ELECTRONICS B DIGITAL CIRCUITS B.1 Logic devices B1 B2 B3 B4 Logic families Combinatorial circuits Basic sequential circuits

More information

Digital logic families

Digital logic families Digital logic families Digital logic families Digital integrated circuits are classified not only by their complexity or logical operation, but also by the specific circuit technology to which they belong.

More information

Basic Characteristics of Digital ICs

Basic Characteristics of Digital ICs ECEN202 Section 2 Characteristics of Digital IC s Part 1: Specification of characteristics An introductory look at digital IC s: Logic families Basic construction and operation Operating characteristics

More information

Digital Circuits and Operational Characteristics

Digital Circuits and Operational Characteristics Digital Circuits and Operational Characteristics 1. DC Supply Voltage TTL based devices work with a dc supply of +5 Volts. TTL offers fast switching speed, immunity from damage due to electrostatic discharges.

More information

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school

DIGITAL ELECTRONICS. A2: logic circuits parameters. Politecnico di Torino - ICT school Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

Classification of Digital Circuits

Classification of Digital Circuits Classification of Digital Circuits Combinational logic circuits. Output depends only on present input. Sequential circuits. Output depends on present input and present state of the circuit. Combinational

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters

DIGITAL ELECTRONICS. Digital Electronics - A2 28/04/ DDC Storey 1. Politecnico di Torino - ICT school. A2: logic circuits parameters Politecnico di Torino - ICT school A2: logic circuits parameters DIGITAL ELECTRONICS A INTRODUCTION A.2 Logic circuits parameters» Static parameters» Interfacing and compatibility» Output stages» Dynamic

More information

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC

The entire range of digital ICs is fabricated using either bipolar devices or MOS devices or a combination of the two. Bipolar Family DIODE LOGIC Course: B.Sc. Applied Physical Science (Computer Science) Year & Sem.: IInd Year, Sem - IIIrd Subject: Computer Science Paper No.: IX Paper Title: Computer System Architecture Lecture No.: 10 Lecture Title:

More information

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey

Lecture 02: Logic Families. R.J. Harris & D.G. Bailey Lecture 02: Logic Families R.J. Harris & D.G. Bailey Objectives Show how diodes can be used to form logic gates (Diode logic). Explain the need for introducing transistors in the output (DTL and TTL).

More information

36 Logic families and

36 Logic families and Unit 4 Outcomes 1. Demonstrate an understanding of logic families and their terms used in their specifications 2. Demonstrate an understanding of time division multiplex (TDM) 3. Demonstrate an understanding

More information

Digital Integrated Circuits - Logic Families (Part II)

Digital Integrated Circuits - Logic Families (Part II) Digital Integrated Circuits - Logic Families (Part II) MOSFET Logic Circuits MOSFETs are unipolar devices. They are simple, small in size, inexpensive to fabricate and consume less power. MOS fabrication

More information

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering

Chapter 6 Digital Circuit 6-6 Department of Mechanical Engineering MEMS1082 Chapter 6 Digital Circuit 6-6 TTL and CMOS ICs, TTL and CMOS output circuit When the upper transistor is forward biased and the bottom transistor is off, the output is high. The resistor, transistor,

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Abu Dhabi Men s College, Electronics Department. Logic Families

Abu Dhabi Men s College, Electronics Department. Logic Families bu Dhabi Men s College, Electronics Department Logic Families There are several different families of logic gates. Each family has its capabilities and limitations, its advantages and disadvantages. The

More information

LOGIC FAMILY LOGIC FAMILY

LOGIC FAMILY LOGIC FAMILY In computer engineering, a logic family may refer to one of two related concepts. A logic family of monolithic digital integrated circuit devices is a group of electronic logic gates constructed using

More information

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001

IC Logic Families. Wen-Hung Liao, Ph.D. 5/16/2001 IC Logic Families Wen-Hung Liao, Ph.D. 5/16/2001 Digital IC Terminology Voltage Parameters: V IH (min): high-level input voltage, the minimum voltage level required for a logic 1 at an input. V IL (max):

More information

Chapter 15 Integrated Circuits

Chapter 15 Integrated Circuits Chapter 15 Integrated Circuits SKEE1223 Digital Electronics Mun im/arif/izam FKE, Universiti Teknologi Malaysia December 8, 2015 Overview 1 Basic IC Characteristics Packaging Logic Families Datasheets

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Features V DD 4 STROBE MOS. Bipolar. Sub 8 GND V EE OUT 8

Features V DD 4 STROBE MOS. Bipolar. Sub 8 GND V EE OUT 8 8-Bit Serial-Input Latched Drivers Final Information General Description BiCMOS technology gives the family flexibility beyond the reach of standard logic buffers and power driver arrays. These devices

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F3 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 29/06/2011-1 ATLCE - F3-2011

More information

Logic Families. A-PDF Split DEMO : Purchase from to remove the watermark. 5.1 Logic Families Significance and Types. 5.1.

Logic Families. A-PDF Split DEMO : Purchase from  to remove the watermark. 5.1 Logic Families Significance and Types. 5.1. A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 5 Logic Families Digital integrated circuits are produced using several different circuit configurations and production technologies.

More information

Digital Electronics Part II - Circuits

Digital Electronics Part II - Circuits Digital Electronics Part II - Circuits Dr. I. J. Wassell Gates from Transistors 1 Introduction Logic circuits are non-linear, consequently we will introduce a graphical technique for analysing such circuits

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

1 IC Logic Families and Characteristics

1 IC Logic Families and Characteristics 2141 Electronics and Instrumentation IC1 1 IC Logic Families and Characteristics 1.1 Introduction miniature, low-cost electronics circuits whose components are fabricated on a single, continuous piece

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Practice Homework Problems for Module 1

Practice Homework Problems for Module 1 Practice Homework Problems for Module 1 1. Unsigned base conversions (LO 1-1). (a) (2C9E) 16 to base 2 (b) (1101001) 2 to base 10 (c) (1101001) 2 to base 16 (d) (8576) 10 to base 16 (e) (A27F) 16 to base

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 8 NMOS gates Ch06L8-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline NMOS (n-channel based MOSFETs based circuit) NMOS Features

More information

DELD UNIT 2. Question Option A Option B Option C Option D Correct Option. Current controlled. high input impedance and high output impedance

DELD UNIT 2. Question Option A Option B Option C Option D Correct Option. Current controlled. high input impedance and high output impedance Class : S.E.Comp Matoshri College of Engineering and Research Center Nasik Department of Computer Engineering Digital Elecronics and Logic Design (DELD) UNIT - II Subject : DELD Sr. No. 1 Transistor is

More information

Features. Ordering Information. Part Number Standard Pb-Free Temp Range Package. MIC5821BN MIC5821YN 40 C to +85 C 16-Pin Plastic DIP

Features. Ordering Information. Part Number Standard Pb-Free Temp Range Package. MIC5821BN MIC5821YN 40 C to +85 C 16-Pin Plastic DIP MIC5821/5822 8-Bit Serial-Input Latched Drivers Final Information General Description BiCMOS technology gives the MIC5821/5822 family flexibility beyond the reach of standard logic buffers and power driver

More information

Module-1: Logic Families Characteristics and Types. Table of Content

Module-1: Logic Families Characteristics and Types. Table of Content 1 Module-1: Logic Families Characteristics and Types Table of Content 1.1 Introduction 1.2 Logic families 1.3 Positive and Negative logic 1.4 Types of logic families 1.5 Characteristics of logic families

More information

Use the fixed 5 volt supplies for your power in digital circuits, rather than the variable outputs.

Use the fixed 5 volt supplies for your power in digital circuits, rather than the variable outputs. Physics 33 Lab 1 Intro to Digital Logic We ll be introducing you to digital logic this quarter. Some things will be easier for you than analog, some things more difficult. Digital is an all together different

More information

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS

EXPERIMENT 12: DIGITAL LOGIC CIRCUITS EXPERIMENT 12: DIGITAL LOGIC CIRCUITS The purpose of this experiment is to gain some experience in the use of digital logic circuits. These circuits are used extensively in computers and all types of electronic

More information

UNIT 2 BIPOLAR LOGIC AND INTERFACING BIPOLAR LOGIC FAMILIES

UNIT 2 BIPOLAR LOGIC AND INTERFACING BIPOLAR LOGIC FAMILIES UNIT 2 BIPOLAR LOGIC AND INTERFACING BIPOLAR LOGIC FAMILIES Bipolar logic families use semiconductor diodes and bipolar junction transistors as the basic building blocks of logic circuits The simplest

More information

Transistor Digital Circuits

Transistor Digital Circuits Transistor Digital Circuits Switching Transistor Model (on) (on) T n T p Controlled switch model v CT > V CTex ; T- (on); i O > 0; v O 0 v CT < V Thn ; T- (off); i O = 0; v O = V PS v CT > V Thp ; T- (off);

More information

DO NOT COPY DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY DO NOT COPY Section 3.8 CMOS Logic Families 133 We ll have more to say about CMOS/TTL interfacing in Section 3.12. For now, it is useful simply to note that HC and HCT are essentially identical in their output specifications;

More information

Unit 1 Session - 3 TTL Parameters

Unit 1 Session - 3 TTL Parameters Objectives Understanding various TTL Parameters Floating Inputs Worst-Case Input Voltages & Output Voltages Profiles and Windows Compatibility Sourcing and Sinking Noise Immunity Standard Loading and Loading

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

DC Electrical Characteristics of MM74HC High-Speed CMOS Logic

DC Electrical Characteristics of MM74HC High-Speed CMOS Logic DC Electrical Characteristics of MM74HC High-Speed CMOS Logic The input and output characteristics of the MM74HC high-speed CMOS logic family were conceived to meet several basic goals. These goals are

More information

7 Designing with Logic

7 Designing with Logic DIGITAL SYSTEM DESIGN 7.1 DIGITAL SYSTEM DESIGN 7.2 7.1 Device Family Overview 7 Designing with Logic ALVC Family The highest performance 3.3-V bus-interface in 0.6-µ CMOS technology Typical propagation

More information

INTEGRATED-CIRCUIT LOGIC FAMILIES

INTEGRATED-CIRCUIT LOGIC FAMILIES C H A P T E R 8 INTEGRATED-CIRCUIT LOGIC FAMILIES OUTLINE 8-1 Digital IC Terminology 8-2 The TTL Logic Family 8-3 TTL Data Sheets 8-4 TTL Series Characteristics 8-5 TTL Loading and Fan-Out 8-6 Other TTL

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

M74HCT04. Hex inverter. Features. Description

M74HCT04. Hex inverter. Features. Description Hex inverter Features High speed: t PD = 11 ns (typ.) at =4.5V Low power dissipation: I CC = 1 μa (max.) at T A =25 C Compatible with TTL outputs: V IH = 2 V (min.) V IL = 0.8 V (max) Balanced propagation

More information

MIC5841/5842. General Description. Features. Ordering Information. 8-Bit Serial-Input Latched Drivers

MIC5841/5842. General Description. Features. Ordering Information. 8-Bit Serial-Input Latched Drivers MIC5841/5842 8-Bit Serial-Input Latched Drivers General Description Using BiCMOS technology, the MIC5841/5842 integrated circuits were fabricated to be used in a wide variety of peripheral power driver

More information

Physics 335 Lab 1 Intro to Digital Logic

Physics 335 Lab 1 Intro to Digital Logic Physics 33 Lab 1 Intro to Digital Logic We ll be introducing you to digital logic this quarter. Some things will be easier for you than analog, some things more difficult. Digital is an all together different

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

FACT Descriptions and Family Characteristics

FACT Descriptions and Family Characteristics November 1988 Revised January 2000 FACT Descriptions and Family Characteristics Fairchild Semiconductor Advanced CMOS Technology FACT Logic Fairchild Semiconductor introduced FACT (Fairchild Advanced CMOS

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

UNISONIC TECHNOLOGIES CO., LTD CD4069

UNISONIC TECHNOLOGIES CO., LTD CD4069 UNISONIC TECHNOLOGIES CO., LTD CD4069 INVERTER CIRCUITS DESCRIPTION The UTC CD4069 consists of six inverter circuits and is manufactured using complementary MOS (CMOS) to achieve wide power supply operating

More information

Low-Cost, Low-Power Level Shifting in Mixed-Voltage (5 V, 3.3 V) Systems

Low-Cost, Low-Power Level Shifting in Mixed-Voltage (5 V, 3.3 V) Systems Application Report SCBA002A - July 2002 Low-Cost, Low-Power Level Shifting in Mixed-Voltage (5 V, 3.3 V) Systems Mark McClear Standard Linear & Logic ABSTRACT Many applications require bidirectional data

More information

CMOS the Ideal Logic Family

CMOS the Ideal Logic Family CMOS the Ideal Logic Family National Semiconductor Application Note 77 Stephen Calebotta January 1983 INTRODUCTION Let s talk about the characteristics of an ideal logic family It should dissipate no power

More information

TC74AC05P,TC74AC05F,TC74AC05FN

TC74AC05P,TC74AC05F,TC74AC05FN TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74AC05P/F/FN TC74AC05P,TC74AC05F,TC74AC05FN Hex Inverter (open drain) The TC74AC05 is an advanced high speed CMOS INVERTER fabricated with silicon

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY 184 hapter 3 Digital ircuits Table 3-13 Manufacturers logic data books. Manufacturer Order Number Topics Title Year Texas Instruments SDLD001 74, 74S, 74LS TTL TTL Logic Data Book 1988 Texas Instruments

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

DATA SHEET. 74HC4050 Hex high-to-low level shifter. Product specification File under Integrated Circuits, IC06

DATA SHEET. 74HC4050 Hex high-to-low level shifter. Product specification File under Integrated Circuits, IC06 DATA SHEET File under Integrated Circuits, IC06 December 1990 Philips Semiconducts FEATURES Output capability: standard I CC categy: SSI GENERAL DESCRIPTION The is a high-speed Si-gate CMOS device and

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Implications of Slow or Floating CMOS Inputs

Implications of Slow or Floating CMOS Inputs Implications of Slow or Floating CMOS Inputs SCBA4 13 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or service

More information

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph ENG2410 Digital Design CMOS Technology Fall 2017 S. reibi School of Engineering University of Guelph The Transistor Revolution First transistor Bell Labs, 1948 Bipolar logic 1960 s Intel 4004 processor

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

ECE 301 Digital Electronics

ECE 301 Digital Electronics ECE 301 Digital Electronics Constraints in Logic Circuit Design (Lecture #14) The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

NC7S86 TinyLogic HS 2-Input Exclusive-OR Gate

NC7S86 TinyLogic HS 2-Input Exclusive-OR Gate TinyLogic HS 2-Input Exclusive-OR Gate General Description The is a single 2-Input high performance CMOS Exclusive-OR Gate. Advanced Silicon Gate CMOS fabrication assures high speed and low power circuit

More information

TC74AC14P,TC74AC14F,TC74AC14FN,TC74AC14FT

TC74AC14P,TC74AC14F,TC74AC14FN,TC74AC14FT Hex Schmitt Inverter TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74AC14P/F/FN/FT TC74AC14P,TC74AC14F,TC74AC14FN,TC74AC14FT The TC74AC14 is an advanced high speed CMOS SCHMITT INVERTER

More information

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES EXPERIMENT 1 FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES REFERENCES Analysis and Design of Digital Integrated Circuits, Hodges and Jackson, pages 6-7 Experiments in Microprocessors

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Basic Logic Circuits

Basic Logic Circuits Basic Logic Circuits Required knowledge Measurement of static characteristics of nonlinear circuits. Measurement of current consumption. Measurement of dynamic properties of electrical circuits. Definitions

More information

TC74AC00P,TC74AC00F,TC74AC00FN,TC74AC00FT

TC74AC00P,TC74AC00F,TC74AC00FN,TC74AC00FT TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74AC00P/F/FN/FT TC74AC00P,TC74AC00F,TC74AC00FN,TC74AC00FT Quad 2-Input NAND Gate The TC74AC00 is an advanced high speed CMOS 2-INPUT NAND GATE

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Logic families (TTL, CMOS)

Logic families (TTL, CMOS) Logic families (TTL, CMOS) When you work with digital IC's, you should be familiar, not only with their logical operation, but also with such operational properties as voltage levels, noise immunity, power

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

A5821. BiMOS II 8-Bit Serial Input Latched Driver. Discontinued Product

A5821. BiMOS II 8-Bit Serial Input Latched Driver. Discontinued Product A5821 BiMOS II 8-Bit Serial Input Latched Driver Discontinued Product These parts are no longer in production The device should not be purchased for new design applications. Samples are no longer available.

More information

TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT

TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74ACT74P/F/FN/FT TC74ACT74P,TC74ACT74F,TC74ACT74FN,TC74ACT74FT Dual D-Type Flip Flop with Preset and Clear The TC74ACT74 is an advanced high

More information

TC74AC04P, TC74AC04F, TC74AC04FT

TC74AC04P, TC74AC04F, TC74AC04FT TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74AC04P, TC74AC04F, TC74AC04FT TC74AC04P/F/FT Hex Inverter The TC74AC04 is an advanced high speed CMOS INVERTER fabricated with silicon gate

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

8-BIT SERIAL-INPUT SHIFT REGISTER WITH LATCHED 3-STATE OUTPUTS High-Performance Silicon-Gate CMOS

8-BIT SERIAL-INPUT SHIFT REGISTER WITH LATCHED 3-STATE OUTPUTS High-Performance Silicon-Gate CMOS 8-BIT SERIAL-INPUT SHIFT REGISTER WITH LATCHED -STATE OUTPUTS High-Performance Silicon-Gate CMOS The IN74HC4094 is identical in pinout to the LS/ALS4094. The device inputs are compatible with standard

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

TC74ACT540P,TC74ACT540F,TC74ACT540FW,TC74ACT540FT TC74ACT541P,TC74ACT541F,TC74ACT541FW,TC74ACT541FT

TC74ACT540P,TC74ACT540F,TC74ACT540FW,TC74ACT540FT TC74ACT541P,TC74ACT541F,TC74ACT541FW,TC74ACT541FT TOSHIBA CMOS Digital Integrated Circuit Silicon Monolithic TC74ACT540,541P/F/FW/FT TC74ACT540P,TC74ACT540F,TC74ACT540FW,TC74ACT540FT TC74ACT541P,TC74ACT541F,TC74ACT541FW,TC74ACT541FT Octal Bus Buffer TC74ACT540P/F/FW/FT

More information

CD4538 Dual Precision Monostable

CD4538 Dual Precision Monostable CD4538 Dual Precision Monostable General Description The CD4538BC is a dual, precision monostable multivibrator with independent trigger and reset controls. The device is retriggerable and resettable,

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

NC7ST00 TinyLogic HST 2-Input NAND Gate

NC7ST00 TinyLogic HST 2-Input NAND Gate TinyLogic HST 2-Input NAND Gate General Description The is a single 2-Input high performance CMOS NAND Gate, with TTL-compatible inputs. Advanced Silicon Gate CMOS fabrication assures high speed and low

More information

NC7S14 TinyLogic HS Inverter with Schmitt Trigger Input

NC7S14 TinyLogic HS Inverter with Schmitt Trigger Input January 1996 Revised August 2004 NC7S14 TinyLogic HS Inverter with Schmitt Trigger Input General Description The NC7S14 is a single high performance CMOS Inverter with Schmitt Trigger input. The circuit

More information

EECE 143 Lecture 0: Intro to Digital Laboratory

EECE 143 Lecture 0: Intro to Digital Laboratory EECE 143 Lecture 0: Intro to Digital Laboratory Syllabus * Class Notes Laboratory Equipment Experiment 0 * Experiment 1 Introduction Instructor Information: Mr. J. Christopher Perez Room: Haggerty Engineering,

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

Note that none of the above MAY be a VALID ANSWER.

Note that none of the above MAY be a VALID ANSWER. ECE 270 Learning Outcome 1-1 - Practice Exam / Solution LEARNING OUTCOME #1: an ability to analyze and design CMOS logic gates. Multiple Choice select the single most appropriate response for each question.

More information

M74HC51TTR DUAL 2 WIDE 2 INPUT AND/OR INVERT GATE

M74HC51TTR DUAL 2 WIDE 2 INPUT AND/OR INVERT GATE DUAL 2 WIDE 2 INPUT AND/OR INVERT GATE HIGH SPEED: t PD = 11ns (TYP.) at V CC = 6V LOW POWER DISSIPATION: I CC = 1µA(MAX.) at T A =25 C HIGH NOISE IMMUNITY: V NIH = V NIL = 28 % V CC (MIN.) SYMMETRICAL

More information

MM74HC132 Quad 2-Input NAND Schmitt Trigger

MM74HC132 Quad 2-Input NAND Schmitt Trigger Quad 2-Input NAND Schmitt Trigger General Description The utilizes advanced silicon-gate CMOS technology to achieve the low power dissipation and high noise immunity of standard CMOS, as well as the capability

More information

Appendix B Page 1 54/74 FAMILIES OF COMPATIBLE TTL CIRCUITS PIN ASSIGNMENT (TOP VIEWS)

Appendix B Page 1 54/74 FAMILIES OF COMPATIBLE TTL CIRCUITS PIN ASSIGNMENT (TOP VIEWS) Appendix B Page 1 54/74 FAMILIES OF COMPATIBLE TTL CIRCUITS PIN ASSIGNMENT (TOP VIEWS) See page 3 See page 3 See page 7 See page 14 See page 9 See page 16 See page 10 TEXAS INSTRUMENTS LTD have given their

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 30/05/2012-1 ATLCE - F2-2011 DDC Lesson F2:

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information