Multilayer Collector Optics for Water Window Microscopy

Size: px
Start display at page:

Download "Multilayer Collector Optics for Water Window Microscopy"

Transcription

1 Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian Seim 2,3, Aurélie Dehlinger 2,4, Anne Blechschmidt 2,4 1 optix fab GmbH, Jena 2 Berlin Laboratory for Innovative X-ray Technologies 3 Max-Born Institute, Berlin 4 Technical University Berlin Dublin, November 11, 2015

2 Outline Introduction EUV multilayer optics activities Microscopy in the water window Summary 2 I 2015 International Workshop on

3 Outline Introduction EUV multilayer optics activities Microscopy in the water window Summary 3 I 2015 International Workshop on

4 History of optix fab. 1997: Start of EUV multilayer Fraunhofer IOF 2000: First paper at SPIE Microlithography on Mo/Si multilayer mirrors 2002: Start of cooperation with semiconductor industry: ASML, Cymer, Intel, Jenoptik, Schott Lithotec, Zeiss, etc. 2009: Coating of first NXE:3100 collector mirror 2011: Development of collector refurbishment technologies 4 I 2015 International Workshop on

5 History of optix fab. 1997: Start of EUV multilayer Fraunhofer IOF 2000: First paper at SPIE Microlithography on Mo/Si multilayer mirrors 2002: Start of cooperation with semiconductor industry: ASML, Cymer, Intel, Jenoptik, Schott Lithotec, Zeiss, etc. 2009: Coating of first NXE:3100 collector mirror 2011: Development of collector refurbishment technologies 2012: Foundation of Fraunhofer IOF spin-off company optix fab. 2013: August 1 st : Operations optix fab. Nov 11, 2015: Delivery of 3708 EUV and X-ray mirrors to customers 5 I 2015 International Workshop on

6 optix fab. organization Mission: Address: URL: Fabrication of customized EUV optics and optical components for EUV 13.5 nm, for EUV, soft and hard X-ray applications, synchrotron and FEL beamlines, metrology, R&D, HHG sources, etc. optix fab GmbH Hans-Knöll-Str. 6 D Jena Team: Torsten Feigl Marco Perske Hagen Pauer Tobias Fiedler 6 I 2015 International Workshop on

7 Outline Introduction EUV multilayer optics activities Microscopy in the water window Summary 7 I 2015 International Workshop on

8 Multilayers for 13.5 nm R = % l = nm FWHM = nm AOI = 5 deg. Berlin 8 I 2015 International Workshop on

9 Broadband Multilayers for nm R ~ 20 % l = nm FWHM = 3.86 nm AOI = 30 deg. Berlin 9 I 2015 International Workshop on

10 Beamsplitters for 13.5 nm R = 29.0 % T = 21.5 % l = 13.5 nm AOI = 45 deg. Berlin 10 I 2015 International Workshop on

11 Multilayers for the water window Berlin 11 I 2015 International Workshop on

12 Multilayers for 8 12 nm Wavelength AOI Reflectance FWHM 8.0 nm 5 deg 32.2 % 0.08 nm 9.0 nm 5 deg 36.0 % 0.11 nm 10.0 nm 5 deg 39.9 % 0.15 nm 11.0 nm 5 deg 46.3 % 0.23 nm 12.0 nm 5 deg 49.1 % 0.33 nm Berlin 12 I 2015 International Workshop on

13 Multilayers for nm 13 I 2015 International Workshop on Wavelength AOI Reflectance FWHM nm 5 deg 50.0 % 0.33 nm nm 5 deg 50.7 % 0.60 nm nm 5 deg 51.9 % 0.61 nm nm 5 deg 45.3 % 0.47 nm

14 Narrowband Multilayers for nm Wavelength AOI Reflectance FWHM ML Design 14 I 2015 International Workshop on 30.0 nm 5 deg 36.1 % 2.17 nm 30.0 nm 15 deg 11.5 % 0.60 nm narrow band 37.9 nm 15 deg 36.7 % 3.28 nm 38.0 nm 15 deg 7.4 % 0.86 nm narrow band Berlin

15 Multilayers for nm Wavelength AOI Reflectance FWHM 41.5 nm 1.5 deg 35.6 % 3.86 nm 52.4 nm 1.5 deg 31.5 % 4.25 nm Berlin 15 I 2015 International Workshop on

16 Mirrors for nm R > 30.0 % l = nm AOI = 1.76 deg. Berlin 16 I 2015 International Workshop on

17 Mirrors for nm R > 80.0 % l = nm AOI = 1.76 deg. Berlin 17 I 2015 International Workshop on

18 Gold coated synchrotron optics 18 I 2015 International Workshop on

19 EUV optics made by optix fab 19 I 2015 International Workshop on

20 Outline Introduction EUV multilayer optics activities Microscopy in the water window Summary 20 I 2015 International Workshop on

21 Water window microscopy 10 penetration depth [ m] water protein LiPF 6 Si F O N C B S P Si wavelength [nm] 21 I 2015 International Workshop on

22 Laboratory Transmission X-ray Microscope (LTXM) 22 I 2015 International Workshop on

23 Slab laser system pulse energy pulse duration repetition rate average power wavelength up to 100 mj ns 1.3 khz > 130 W 1064 nm M² 3 (horiz. axis) 23 I 2015 International Workshop on

24 Liquid nitrogen jet target system Laser beam focus Horizontal: Vertical: 10.5 ± 0.5 m 11.4 ± 0.5 m 24 I 2015 International Workshop on

25 Laboratory Transmission X-ray Microscope (LTXM) 25 I 2015 International Workshop on

26 Current water window multilayer collector: optical performance 26 I 2015 International Workshop on

27 Multilayer development for water window collector 27 I 2015 International Workshop on

28 New multilayer collector mirror: EUV reflectance at different radii 28 I 2015 International Workshop on

29 Collector mirror: Peak reflectance at different positions 29 I 2015 International Workshop on

30 Collector mirror: Center wavelength at different positions 30 I 2015 International Workshop on

31 Collector mirror: Reflectance mapping at l = nm R = 3.66 % l = nm FWHM = nm AOI = 1.5 deg. 31 I 2015 International Workshop on Berlin

32 Laboratory Transmission X-ray Microscope: Resolution C. Seim, H. Legall, H. Stiel et al SPIE (2013) 8678, I 2015 International Workshop on

33 Laboratory Transmission X-ray Microscope: Biological Imaging Cryo fixated yeast cells (Saccharomyces cerevisiae) with 250 nm Gold nanoparticles 33 I 2015 International Workshop on

34 Laboratory Transmission X-ray Microscope: Biological Imaging 34 I 2015 International Workshop on

35 Laboratory Transmission X-ray Microscope: Biological Imaging 35 I 2015 International Workshop on

36 Outline Introduction EUV multilayer optics activities Microscopy in the water window Summary 36 I 2015 International Workshop on

37 Summary Fabrication of customized EUV and VUV multilayer optics from 2 nm to 200 nm Development of new and high-reflective multilayer for nm Multilayer reflectance: R = nm (V absorption edge) Multilayer reflectance: R = nm (N 2 emission) Collector reflectance: R = nm Factor of 10 improvement! 37 I 2015 International Workshop on

38 Acknowledgements Fraunhofer IOF: Thomas Müller, Michael Scheler, Steffen Schulze PTB Berlin: Frank Scholze, Christian Laubis and team Charité Berlin: Martina Meinke, Kay Raum, ATB: Kai Reinecke HZB: Gerd Schneider, Stefan Rehbein Fraunhofer ILT: Marco Hoeffer, Dominik Esser AXILON: Urs Wiesemann, Wolfgang Diete KTH: Hans Hertz 38 I 2015 International Workshop on

39 Thank you.

40 optix fab.

LPP collector mirrors coating, metrology and refurbishment

LPP collector mirrors coating, metrology and refurbishment LPP collector mirrors coating, metrology and refurbishment 2013 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler optix fab GmbH Christian Laubis,

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Compact x-ray microscope for the water window based on a high brightness laser plasma source

Compact x-ray microscope for the water window based on a high brightness laser plasma source Compact x-ray microscope for the water window based on a high brightness laser plasma source H. Legall, 1,2, G. Blobel, 1,2 H. Stiel, 1,2 W. Sandner, 1,2 C. Seim, 3,4 P. Takman, 5 D. H. Martz, 5 M. Selin,

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Bioimaging of cells and tissues using accelerator-based sources

Bioimaging of cells and tissues using accelerator-based sources Analytical and Bioanalytical Chemistry Electronic Supplementary Material Bioimaging of cells and tissues using accelerator-based sources Cyril Petibois, Mariangela Cestelli Guidi Main features of Free

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography

High Power, High Repetition Rate F 2 Laser for 157 nm Lithography High Power, High Repetition Rate F 2 Laser R. Pätzel a, S. Spratte a, F. Voss a, I. Bragin a, E. Bergmann a, N. Niemöller a, T. Nagy a, U. Rebhan a, K. Vogler a, I. Klaft a, S. Govorkov b, G. Hua b a Lambda

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES

TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES TIME-PRESERVING MONOCHROMATORS FOR ULTRASHORT EXTREME-ULTRAVIOLET PULSES Luca Poletto CNR - Institute of Photonics and Nanotechnologies Laboratory for UV and X-Ray Optical Research Padova, Italy e-mail:

More information

New generation Laser amplifier system for FEL applications at DESY.

New generation Laser amplifier system for FEL applications at DESY. New generation Laser amplifier system for FEL applications at DESY. Franz Tavella Helmholtz-Institut-Jena Merging advanced solid-state Laser technology with FEL sources Helmholtz-Institut-Jena DESY F.

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter EUV Beam Splitter 1 Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter First Semester Report Full Report By: Andrew Wiley Maram Alfaraj Prepared to partially fulfill the requirements

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

IN-LAB PELLICLE METROLOGY CHALLENGES

IN-LAB PELLICLE METROLOGY CHALLENGES IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University 04.10.2015, Maastricht Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

GIGAPHOTON INTRODUCTION

GIGAPHOTON INTRODUCTION GIGAPHOTON INTRODUCTION 15 th September 2017 Tatsuo Enami Director and Senior Executive Officer GIGAPHOTON Copyright Gigaphoton Inc. Outline of Gigaphoton Business Light source business

More information

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University

National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing. Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center for Lasers and Plasmas for Advanced Manufacturing Mool C. Gupta Applied Research Center Old Dominion University National Science Foundation Center - Center Mission -

More information

DCS laser for Thomson scattering diagnostic applications

DCS laser for Thomson scattering diagnostic applications DCS laser for Thomson scattering diagnostic applications Authors Jason Zweiback 10/6/2015 jzweiback@logostech.net 1 Summary Motivation DCS laser Laser for Thomson scattering diagnostics 2 What is the Dynamic

More information

Beam quality of a new-type MOPO laser system for VUV laser lithography

Beam quality of a new-type MOPO laser system for VUV laser lithography Beam quality of a new-type MOPO laser system for VUV laser lithography Osamu Wakabayashi a, Tatsuya Ariga a, Takahito Kumazaki a, Koutarou Sasano a, Takayuki Watanabe a, Takayuki Yabu a, Tsukasa Hori a,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec

Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier. Bruno Le Garrec SPIE Photonics West 2.2.2014 Extreme Light Infrastucture (ELI) Science and Technology at the ultra-intense Frontier Bruno Le Garrec bruno.legarrec@eli-beams.eu On behalf of Georg Korn, Bedrich Rus and

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy

Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy REVIEW OF SCIENTIFIC INSTRUMENTS 76, 043503 2005 Liquid-nitrogen-jet laser-plasma source for compact soft x-ray microscopy P. A. C. Jansson, a U. Vogt, and H. M. Hertz Biomedical and X-Ray Physics, Royal

More information

PELHAM RESEARCH OPTICAL L.L.C.

PELHAM RESEARCH OPTICAL L.L.C. Specializing in Precision VUV/UV Optical Coating and Service Thank you for your interest in Pelham Research Optical (PRO), we are proud to offer our complete catalog of standard fi lters and broadband

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools Rainer Lebert 1, Christoph Phiesel 1, Thomas Mißalla 1, Christian Piel 1, Alexander

More information

Transferring wavefront measurements to ablation profiles. Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich

Transferring wavefront measurements to ablation profiles. Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich Transferring wavefront measurements to ablation profiles Michael Mrochen PhD Swiss Federal Institut of Technology, Zurich IROC Zurich corneal ablation Calculation laser spot positions Centration Calculation

More information

improved stability (compared with

improved stability (compared with Picosecond Tunable Systems Nanosecond Lasers NT230 SERIES NT230 series lasers deliver high up to 10 mj energy pulses at 100 Hz pulse repetition rate, tunable over a broad spectral range. Integrated into

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

EUVL: Challenges to Manufacturing Insertion

EUVL: Challenges to Manufacturing Insertion Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 599-604 C 2017SPST Technical Paper EUVL: Challenges to Manufacturing Insertion Obert R. Wood II * Strategic Lithography Technology,

More information

Features. Applications. Optional Features

Features. Applications. Optional Features Features Compact, Rugged Design TEM Beam with M 2 < 1.2 Pulse Rates from Single Shot to 15 khz IR, Green, UV, and Deep UV Wavelengths Available RS232 Computer Control Patented Harmonic Generation Technology

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

VUV-FEL User workshop, August 23-24, 2004

VUV-FEL User workshop, August 23-24, 2004 Layout of the user facility Kai Tiedtke Kai Tiedtke, HASYLAB@ VUV-FEL User workshop, August 23-24, 2004 Kai.Tiedtke@desy.de Kai Tiedtke, HASYLAB@ Outline Photon beam transport Layout of the experimental

More information

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION 1 ) XTREME technologies GmbH, Steinbachstr. 15, 5274 Aachen, Germany 2 ) Gotemba R&D Center, Extreme Ultraviolet Lithography System Development Association (EUVA), 1-9, Komakado, Gotemba, Shizuoka-prefecture,

More information

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems

Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Development of a 5 khz Ultra-Line-Narrowed F2 Laser for Dioptric Projection S ys tems Tatsuya Ariga, Hidenori Watanabe, Takahito Kumazaki, Naoki Kitatochi, Kotaro Sasano, Yoshifumi Ueno, Masayuki Konishi,

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended spherical surfaces

RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended spherical surfaces HIGH QUALITY CAF 2 COMPONENTS LOWEST STRAYLIGHT LOSSES IN THE UV Our special polishing technique for calcium fluoride guarantees: RMS roughness: < 1.5Å on plane surfaces and about 2Å on smoothly bended

More information

LMT F14. Cut in Three Dimensions. The Rowiak Laser Microtome: 3-D Cutting and Imaging

LMT F14. Cut in Three Dimensions. The Rowiak Laser Microtome: 3-D Cutting and Imaging LMT F14 Cut in Three Dimensions The Rowiak Laser Microtome: 3-D Cutting and Imaging The Next Generation of Microtomes LMT F14 - Non-contact laser microtomy The Rowiak laser microtome LMT F14 is a multi-purpose

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

GaN-based Schottky diodes for EUV/VUV/UV photodetection

GaN-based Schottky diodes for EUV/VUV/UV photodetection 1 GaN-based Schottky diodes for EUV/VUV/UV photodetection F. Shadi Shahedipour-Sandvik College of Nanoscale Science and Engineering University at Albany - SUNY, Albany NY 12203 cnse.albany.edu sshahedipour@uamail.albany.edu

More information

TCSPC at Wavelengths from 900 nm to 1700 nm

TCSPC at Wavelengths from 900 nm to 1700 nm TCSPC at Wavelengths from 900 nm to 1700 nm We describe picosecond time-resolved optical signal recording in the spectral range from 900 nm to 1700 nm. The system consists of an id Quantique id220 InGaAs

More information

Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics

Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics Outline of the proposed JLAMP VUV/soft X-ray FEL and the challenges for the photon beamlines and optics J. Michael Klopf Jefferson Lab - Free Electron Laser Division Workshop on Future Light Sources SLAC

More information

EUVL Extreme Ultraviolet Lithography

EUVL Extreme Ultraviolet Lithography EUVL Extreme Ultraviolet Lithography Laying the Foundations for Microchips of the Next Decade Do you remember your first cell phone? And what you could do with it? Exactly: make phone calls. It has only

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

High-peak power laser system used in Yb doped LMA fiber

High-peak power laser system used in Yb doped LMA fiber High-peak power laser system used in Yb doped LMA fiber Institute of Laser Engineering, Osaka University, Suita, Osaka, Japan YOSHIDA Hidetsugu, TSUBAKIMOTO Koji, FUJITA Hisanori, NAKATSUKA Masahiro, MIYANAGA

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team

Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser. P. Castro for the TTF-FEL team Demonstration of exponential growth and saturation at VUV wavelengths at the TESLA Test Facility Free-Electron Laser P. Castro for the TTF-FEL team 100 nm 1 Å FEL radiation TESLA Test Facility at DESY

More information

Wisconsin FEL Initiative

Wisconsin FEL Initiative Wisconsin FEL Initiative Joseph Bisognano, Mark Bissen, Robert Bosch, Michael Green, Ken Jacobs, Hartmut Hoechst, Kevin J Kleman, Robert Legg, Ruben Reininger, Ralf Wehlitz, UW-Madison/SRC William Graves,

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Room-temperature continuous-wave electrically injected InGaN-based laser directly grown on Si Authors: Yi Sun 1,2, Kun Zhou 1, Qian Sun 1 *, Jianping Liu 1, Meixin Feng 1, Zengcheng Li 1, Yu Zhou 1, Liqun

More information

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ

Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Investigations towards an optical transmission line for longitudinal phase space measurements at PITZ Sergei Amirian Moscow institute of physics and technology DESY, Zeuthen, September 2005 Email:serami85@yahoo.com

More information

The RhySearch LIDT Testing Facility at the NTB Buchs

The RhySearch LIDT Testing Facility at the NTB Buchs The RhySearch LIDT Testing Facility at the NTB Buchs Workshop on Optical Coatings for Laser Applications, Thursday, 11 th June 2015 Dr. Roelene Botha RhySearch / NTB Buchs RhySearch: The Rheintal Research

More information

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs Christophe Moser, CEO Moser@ondax.com Contributors: Gregory Steckman, Frank Havermeyer, Wenhai Liu: Ondax Inc. Christian

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

EUV Spectral Purity Filter for Full IR-to-VUV Out-of-Band Rejection, with IR Power Recycling

EUV Spectral Purity Filter for Full IR-to-VUV Out-of-Band Rejection, with IR Power Recycling 1 EUV Spectral Purity Filter for Full -to-vuv Out-of-Band Rejection, with Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 12/11/2015 Abstract A plasma light source for EUV lithography can

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser

880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser 880 Quantum Electronics Optional Lab Construct A Pulsed Dye Laser The goal of this lab is to give you experience aligning a laser and getting it to lase more-or-less from scratch. There is no write-up

More information

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser

High Average Power, High Repetition Rate Side-Pumped Nd:YVO 4 Slab Laser High Average Power, High Repetition Rate Side-Pumped Nd:YVO Slab Laser Kevin J. Snell and Dicky Lee Q-Peak Incorporated 135 South Rd., Bedford, MA 173 (71) 75-9535 FAX (71) 75-97 e-mail: ksnell@qpeak.com,

More information

Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams

Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams Submitted to Physical Review Letters Lensless diffractive imaging using tabletop, coherent, high harmonic soft x- ray beams Richard L. Sandberg, Ariel Paul, Daisy Raymondson, Steffen Hädrich, David M.

More information