LPP collector mirrors coating, metrology and refurbishment

Size: px
Start display at page:

Download "LPP collector mirrors coating, metrology and refurbishment"

Transcription

1 LPP collector mirrors coating, metrology and refurbishment 2013 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler optix fab GmbH Christian Laubis, Frank Scholze PTB Berlin Dublin, November 5, 2013

2 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 2 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

3 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 3 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

4 Team and organization Organization: Mission: Team: GmbH (limited liability company) Fabrication of customized optics and optical components for EUV 13.5 nm, synchrotron and FEL beamlines, metrology, R&D applications, etc. Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler 4 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

5 Contact information mailing address optix fab GmbH Hans-Knöll-Str Jena Germany phone fax President and CEO info@optixfab.com Torsten.Feigl@optixfab.com Dr. Torsten Feigl 5 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

6 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 6 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

7 Multilayer coated collector optics for LPP sources 7 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

8 First p sr multilayer collector realized in 2004 Measured EUV reflection and angle of incidence on EUV collector mirror (R-measurement: PTB Berlin) Reflectivity, % AOI, deg N = 60 AOI, deg Substrate tilt, deg. 8 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

9 First 300 mm multilayer collector realized in 2006 R CA > 66.5 % (s-pol) l = nm FWHM > nm s l = nm Measurements: PTB Berlin reflectivity mm, axis mm, axis mm, axis mm, axis mm, axis mm, axis wavelength, nm 9 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

10 High-temperature multilayer collectors realized in 2008 R CA > 58 % (s-pol) New Mo/X/Si/X coating with superior thermal stability up to 600 C Measurements: 60% PTB Berlin, BESSY II reflectance 50% 40% 30% 20% r = 40mm r = 50mm r = 60mm r = 70mm r = 80mm r = 90mm r = 100mm r = 110mm r = 120mm r = 130mm 10% 0% wavelenght, nm 10 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

11 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 11 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

12 LPP collector coating challenges R > 50 % (uncapped ML) l = (13.50 ± 0.03) nm Dd = nm = 15 pm Diameter: > 660 mm Lens sag: > 150 mm Tilt: > 45 deg Weight: > 40 kg 12 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

13 NXE: Improved collector lifetime in the field. Champion lifetime in the field ~11 months (~120 billion pulses) Six collectors with >6 months lifetime Update given at SPIE in February Cap layer development has greatly increased average collector lifetime 13 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

14 Collector damage and degradation mechanisms Impact of particles, ions, radiation onto the collector Degradation mechanisms on the surface: tin accumulation, ion damage, oxidation, blistering, Tin deposition is the dominant mechanism Damage occurs only at the top section of the coating With additional coating protection, the collector field performance has become much more mature Huge lifetime improvements were achieved by the introduction of new and improved cap layers 14 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

15 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 15 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

16 EUV reflectance mapping after coating Measurement of s-polarized EUV reflectance at nm over full collector CA (3360 measurement points) Average reflectance <R s-pol > = 53.5 % <R upol > = 44.7 % Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , , % 5 % -200 EUV reflectance 13.5 nm: -300 Measurements: PTB Berlin X /mm 16 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

17 EUV reflectance mappings at different stages of refurbishment Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , ,05000 Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , ,05000 Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , , X /mm X /mm X /mm Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , ,05000 Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , ,05000 Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , , X /mm X /mm X /mm 17 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

18 Averaged EUV reflectance at different stages of refurbishment 70% 60% Average s-pol EUVR Average unpol EUVR 50% 40% 30% 20% 10% 0% as-deposited end of life 1st step 2nd step 3rd step 4th step 5th step 18 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

19 BB04: EUV reflectance after coating of capping layer Measurement of s-polarized EUV reflectance at nm over full collector CA (3360 measurement points) Average reflectance <R s-pol > = 47.7 % <R upol > = 39.8 % Y /mm ,6500 0,6300 0,6100 0,5900 0,5700 0,5500 0,5300 0,5100 0,4900 0,4700 0,4500 0,4300 0,4100 0,3900 0,3700 0,3500 0,3300 0,3100 0,2900 0,2700 0,2500 0,2300 0,2100 0,1900 0,1700 0,1500 0,1300 0,1100 0, , , % 5 % -200 EUV reflectance 13.5 nm: -300 Measurements: PTB Berlin X /mm 19 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

20 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 20 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

21 Complete multilayer stripping and recoating initial reflectance Initial coating Average reflectance <R upol > = 39.8 % EUV reflectance 13.5 nm: 21 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

22 Complete multilayer stripping and recoating Complete ML stripping + recoating Average reflectance <R upol > = 43.8 % EUV reflectance 13.5 nm: 22 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

23 Outline Introduction to optix fab History of LPP collector mirror coating at IOF / optix fab NXE:3100 LPP collector lifetime Refurbishment of EUV collector mirrors - top layer refurbishment - multilayer stripping and recoating Summary and acknowledgement 23 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

24 Summary Collector lifetime: 120 Billion pulses with new collector coating It is possible to refurbish multilayer LPP collector mirrors! Collector refurbishment: - keeping initial ML coating: 90 % of initial EUVR - stripping initial ML coating and ML recoating: no EUVR loss 24 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

25 Acknowledgements EUV source development Cymer ASML EUV collector Fraunhofer IOF: Christoph Damm, Andreas Gebhardt, Tobias Herffurth, Robert Jende, Johannes Paul Jobst, Thomas Müller, Michael Scheler, Thomas Peschel, Stefan Risse, Steffen Schulze, Ronald Schmidt, Sven Schröder, Mark Schürmann, Marcus Trost, Sergiy Yulin, Uwe Zeitner, and many others EUV reflectivity measurement PTB Berlin: Christian Buchholz, Annett Barboutis, Martin Biel, Benjamin Dubrau, Andreas Fischer, Anne Hesse, Jana Puls, Christian Stadelhoff 25 I 2013 International Workshop on EUV and soft X-Ray Sources, November 5, 2013

26 optix fab.

Multilayer Collector Optics for Water Window Microscopy

Multilayer Collector Optics for Water Window Microscopy Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian

More information

LPP EUV Source Development and HVM I Productization

LPP EUV Source Development and HVM I Productization LPP EUV Source Development and HVM I Productization October 19, 2009 David C. Brandt*, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers Richard L. Sandstrom, Norbert R. Böwering, Alexander

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012402 TITLE: High Power Gas-Discharge and Laser-Plasma Based EUV Sources DISTRIBUTION: Approved for public release, distribution

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Grating-waveguide structures and their applications in high-power laser systems

Grating-waveguide structures and their applications in high-power laser systems Grating-waveguide structures and their applications in high-power laser systems Marwan Abdou Ahmed*, Martin Rumpel, Tom Dietrich, Stefan Piehler, Benjamin Dannecker, Michael Eckerle, and Thomas Graf Institut

More information

EUV Spectral Purity Filter for Full IR-to-VUV Out-of-Band Rejection, with IR Power Recycling

EUV Spectral Purity Filter for Full IR-to-VUV Out-of-Band Rejection, with IR Power Recycling 1 EUV Spectral Purity Filter for Full -to-vuv Out-of-Band Rejection, with Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 12/11/2015 Abstract A plasma light source for EUV lithography can

More information

EUV Plasma Source with IR Power Recycling

EUV Plasma Source with IR Power Recycling 1 EUV Plasma Source with IR Power Recycling Kenneth C. Johnson kjinnovation@earthlink.net 1/6/2016 (first revision) Abstract Laser power requirements for an EUV laser-produced plasma source can be reduced

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Optics for EUV Production

Optics for EUV Production Optics for EUV Production NXE 3100 NXE 3300 Olaf Conradi, Peter Kuerz, Ralf Arnold, Thure Boehm, Joachim Buechele, Manfred Dahl, Udo Dinger, Hans-Juergen Mann, Stephan Muellender, Martin Lowisch, Oliver

More information

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography

A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography A Comparison of ArF and KrF Laser Performance At 2kHz For Microlithography Herve Besaucele, Palash Das, Thomas Duffey, Todd Embree, Alex Ershov, Vladimir Fleurov, Steve Grove, Paul Meleher, Richard Ness,

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs

High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs High Power Dense Spectral Combination Using Commercially Available Lasers and VHGs Christophe Moser, CEO Moser@ondax.com Contributors: Gregory Steckman, Frank Havermeyer, Wenhai Liu: Ondax Inc. Christian

More information

High Performance Thin Film Optical Coatings Technical Reference Document 09/13. Coatings Capabilities. Heat Control - Hot Mirror Filters

High Performance Thin Film Optical Coatings Technical Reference Document 09/13. Coatings Capabilities. Heat Control - Hot Mirror Filters Heat Control - Hot Mirror Filters A hot mirror is in essence a thin film coating applied to substrates in an effort to reflect infra-red radiation either as a means to harness the reflected wavelengths

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Fiber Lasers for EUV Lithography

Fiber Lasers for EUV Lithography Fiber Lasers for EUV Lithography A. Galvanauskas, Kai Chung Hou*, Cheng Zhu CUOS, EECS Department, University of Michigan P. Amaya Arbor Photonics, Inc. * Currently with Cymer, Inc 2009 International Workshop

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Cr, Co, Cu, Mo, Ag (others on request) Mean Reflectivity: R > 70%

Cr, Co, Cu, Mo, Ag (others on request) Mean Reflectivity: R > 70% PARALLEL BEAM X-RAY OPTICS y Mirror length L Θ = f(x) b p/2 λ = 2d eff (x) sin Θ(x) eff x m Parallel beam width b=f(p,λ,l,,l,x m ) x Fabrication of high precision 6 mm parallel beam optics both on prefigured

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Report on the CCPR Pilot Comparison. Spectral Responsivity 10 nm to 20 nm

Report on the CCPR Pilot Comparison. Spectral Responsivity 10 nm to 20 nm Page 1 of 30 Report on the CCPR Pilot Comparison Spectral Responsivity 10 nm to 20 nm reported by Frank Scholze Physikalisch-Technische Bundesanstalt Berlin Germany October 2009 Page 2 of 30 Page 3 of

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Status of EUVL Multilayer Optics Deposition at RIT

Status of EUVL Multilayer Optics Deposition at RIT Status of EUVL Multilayer Optics Deposition at RIT Yuriy Platonov, Jim Rodriguez, Mike Kriese, Vladimir Martynov Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn Hills, MI 48326, USA Outline RIT

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

Scalable high-power and high-brightness fiber coupled diode laser devices

Scalable high-power and high-brightness fiber coupled diode laser devices Scalable high-power and high-brightness fiber coupled diode laser devices Bernd Köhler *, Sandra Ahlert, Andreas Bayer, Heiko Kissel, Holger Müntz, Axel Noeske, Karsten Rotter, Armin Segref, Michael Stoiber,

More information

GaN-based Schottky diodes for EUV/VUV/UV photodetection

GaN-based Schottky diodes for EUV/VUV/UV photodetection 1 GaN-based Schottky diodes for EUV/VUV/UV photodetection F. Shadi Shahedipour-Sandvik College of Nanoscale Science and Engineering University at Albany - SUNY, Albany NY 12203 cnse.albany.edu sshahedipour@uamail.albany.edu

More information

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter EUV Beam Splitter 1 Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter First Semester Report Full Report By: Andrew Wiley Maram Alfaraj Prepared to partially fulfill the requirements

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

EUVL: Challenges to Manufacturing Insertion

EUVL: Challenges to Manufacturing Insertion Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 599-604 C 2017SPST Technical Paper EUVL: Challenges to Manufacturing Insertion Obert R. Wood II * Strategic Lithography Technology,

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Low Cost Very Large Diamond Turned Metal Mirror Contract No. NNX09CF40P (SBIR ) (MSFC)

Low Cost Very Large Diamond Turned Metal Mirror Contract No. NNX09CF40P (SBIR ) (MSFC) Low Cost Very Large Diamond Turned Metal Mirror Contract No. NNX09CF40P (SBIR 2008-1) (MSFC) Mirror Technology SBIR/STTR Workshop June 16 th to 18 th, 2009 Hilton Albuquerque, Albuquerque, NM John M. Casstevens

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications

Performance of the SASE3 monochromator equipped with a provisional short grating. Variable line spacing grating specifications TECHNICAL REPORT Performance of the SASE monochromator equipped with a provisional short grating. Variable line spacing grating specifications N. Gerasimova for the X-Ray Optics and Beam Transport group

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Alternative Colored Glass Alternative Filters Filters

Alternative Colored Glass Alternative Filters Filters Alternative Filters Newport's Colored-Glass Alternative (CGA) Filters Newport's patent pending Colored-Glass Alternative (CGA) filters were developed to provide solutions for applications requiring long

More information

Tailored bar concepts for 10 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers

Tailored bar concepts for 10 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers Tailored bar concepts for 1 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers Andreas Unger*, Ross Uthoff, Michael Stoiber, Thomas Brand, Heiko Kissel, Bernd Köhler, Jens Biesenbach

More information

Imaging in the EUV region. Eberhard Spiller

Imaging in the EUV region. Eberhard Spiller Imaging in the EUV region Eberhard Spiller Introduction to Imaging Applications Astronomy Microscopy EUV Lithography Direct Reconstruction E. Spiller, June 11, 2008 2 Imaging with light Waves move by λ

More information

Aspherical and freeform mirrors based on ultra-precise manufacturing for telescopes in the vis spectral range S. Risse M. Beier J.

Aspherical and freeform mirrors based on ultra-precise manufacturing for telescopes in the vis spectral range S. Risse M. Beier J. International Conference on Space Optics 18 21 October 2016 Edited by Bruno Cugny, Nikos Karafolas and Zoran Sodnik ente on Space Optics 31. 18-21 October www.icso2016.com Aspherical and freeform mirrors

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Development of scalable laser technology for EUVL applications

Development of scalable laser technology for EUVL applications Development of scalable laser technology for EUVL applications Tomáš Mocek, Ph.D. Chief Scientist & Project Leader HiLASE Centre CZ.1.05/2.1.00/01.0027 Lasers for real-world applications Laser induced

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

CO 2 / Sn LPP EUV Sources for device development and HVM

CO 2 / Sn LPP EUV Sources for device development and HVM CO 2 / Sn LPP EUV Sources for device development and HVM David C. Brandt, Igor V. Fomenkov, Nigel R. Farrar, Bruno La Fontaine, David W. Myers, Daniel J. Brown, Alex I. Ershov, Richard L. Sandstrom, Georgiy

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

InnoTesting Improving Efficiency by Controlling Complexity in Testing. Wildau, Aerospace Technology Centre

InnoTesting Improving Efficiency by Controlling Complexity in Testing. Wildau, Aerospace Technology Centre InnoTesting 2013 Improving Efficiency by Controlling Complexity in Testing Wildau, Aerospace Technology Centre February, 21 22, 2013 Dear participants of InnoTesting Innovation is based on teamwork. To

More information

Typical LED Characteristics

Typical LED Characteristics Typical LED Characteristics Characteristic Unit Value Light output 1 mw > 1 2 Peak wavelength 3 nm 255 nm to 28 nm 4 Viewing angle Degrees 11 5 Full width at half maximum 3 (@1 ma) nm 16 Forward voltage

More information

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015)

2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) 2015 IEEE High Power Diode Lasers and Systems Conference (HPD 2015) Coventry, United Kingdom 14-15 October 2015 IEEE Catalog Number: ISBN: CFP1571I-POD 978-1-4673-9179-5 Copyright 2015 by the Institute

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline Focusing X-ray beams below 50 nm using bent multilayers O. Hignette Optics group European Synchrotron Radiation Facility (FRANCE) Outline Graded multilayers resolution limits 40 nanometers focusing Fabrication

More information

Green Laser Diode in TO56 Package Version 0.2 PLT5 520B. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device

Green Laser Diode in TO56 Package Version 0.2 PLT5 520B. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device 2007-05-23 Green Laser Diode in TO56 Package Version 0.2 Features Optical output power (continuous wave): 80 mw (T case = 25 C) Typical emission wavelength: 520 nm Efficient radiation source for cw and

More information

Green Laser Diode in TO38 ICut Package Version 1.1 PL 520. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device

Green Laser Diode in TO38 ICut Package Version 1.1 PL 520. ATTENTION Observe Precautions For Handling Electrostatic Sensitive Device Green Laser Diode in TO38 ICut Package Version 1.1 PL 520 Features Optical output power (continuous wave): 30 / 50 mw (T case = 25 C) Typical emission wavelength: 515 / 520 nm Efficient radiation source

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Thermopile Detector TPD 2T 0625 G7.2 G20 / 3142 Revision - Date: 2011/12/01

Thermopile Detector TPD 2T 0625 G7.2 G20 / 3142 Revision - Date: 2011/12/01 SENSOR SOLUTIONS Thermopile Detector TPD 2T 0625 G7.2 G20 / 3142 Revision - Date: 2011/12/01 Features and Benefits High sensitivity TO-39 metal housing Thermistor included Two narrow band pass filters

More information

Optics for next generation light sources

Optics for next generation light sources Optics for next generation light sources Anton Barty Centre for Free Electron Laser Science Hamburg, Germany Key issues Optical specifications Metrology (mirror surfaces) Metrology (wavefront, focal spot)

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

EUV and Soft X-Ray Optics

EUV and Soft X-Ray Optics David Attwood University of California, Berkeley Cheiron School September 2012 SPring-8 1 The short wavelength region of the electromagnetic spectrum n = 1 + i,

More information