Critical Challenges of EUV Mask Blank Volume Production

Size: px
Start display at page:

Download "Critical Challenges of EUV Mask Blank Volume Production"

Transcription

1 Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG, Jerusalemer Str. 13, D Meiningen, Germany U. Kleineberg 1, J. Lin 1, U. Neuhäusler 1, N. Weber 2, M. Merkel 2, A. Oelsner 3, G. Schönhense 3 1 University of Bielefeld, Faculty of Physics, Universitätsstr. 25, D Bielefeld, Germany 2 FOCUS GmbH, Am Birkhecker Berg 20, D Hünstetten-Görsroth, Germany 3 Johannes Gutenberg University Mainz, Saarstr. 21, D Mainz, Germany

2 Outline: Challenge: Achieving a large number of parameters simultaneously on a integrated blank Status of EUV Mask Blanks from SCHOTT Lithotec Performance of available LTEM Substrates Progress in manufacturing defect free blanks EUVL mask blank properties Performance of new TaN-Absorber in mask process Actinic inspection by EUV PEEM Summary 2 Tuesday, November 8th, 2005

3 Challenge: Achieving a large number of parameters simultaneously Absorber stack: Defectivity, optical properties at EUV and inspection wavelength as well as dry etch performance Multilayer stack: Defectivity, EUV-reflectivity and centroid wavelength- uniformity as well as long term stability LTEM substrates: Defectivity, thermal stability, flatness and roughness Backside coating: Defectivity, electrical conductivity Leading-edge metrology 3 Tuesday, November 8th, 2005

4 Substrates LTEM-Substrates: Flatness below 70 nm on both sides available Frontside flatness: < 70 nm Backside flatness: < 70 nm Area: 142 mm x 142 mm Area: 142 mm x 142 mm 4 Tuesday, November 8th, 2005

5 Substrates LTEM-Substrates: Excellent High Spatial Frequency Roughness High Spatial Frequency Roughness of 1.7 Å rms Local slope of 2.3 mrad (250 nm < λ spatial < 5 μm) 5 Tuesday, November 8th, 2005

6 Defectivity of Quartz Substrates: Excellent substrate defect performance after backside coating Defect inspection by Lasertec M1350 (measured at Sematech North Albany) Pixel 6 corresponds to 80 nm 3 defects achieved above 80 nm 0.01 defects/cm 2 achieved for backside coated quartz substrates 6 Tuesday, November 8th, 2005

7 Multilayer: Continuous learning on defect reduction over 2 years Each point corresponds to a mean value of total defects over dozens of samples Cut-off size 200 nm PSL equivalent Absolute Front Defects Learning curve for Multilayers 1 Jul 02 Jan 03 Aug 03 Mrz 04 Sep 04 Apr 05 Okt defects /cm² achieved (cut-off size: 150 nm PSL equivalent) 7 Tuesday, November 8th, 2005

8 EUV-Multilayer Multilayer: Best result on total defect level for EUVL multilayer stack Defect inspection by Lasertec M1350 (measured at Sematech North Albany) Pixel 8 corresponds to 80 nm 0.7 defects/cm 2 achieved for Multilayer Coating on Quartz substrates (cut-off size 80 nm) Learning curve for Multilayers 10 Defect/cm 2 (> 80 nm PSL) Jan 04 Jan 05 Jan 06 Jan 07 8 Tuesday, November 8th, 2005

9 EUV-Reflectometry Multilayer: EUV-Reflectometer from AIXUV GmbH monitors current blank production and development Throughput up to 3 blanks per hour Mapping capability of 140 mm x 140 mm Absolute accuracy of EUV-reflectivity < 0.5% Absolute accuracy of central wavelength < ± nm Calibration by measurements performed at PTB EUV-Reflectivity EUV-Reflectivity of Multilayer Wavelength [nm] AIXUV ESR 9 Tuesday, November 8th, 2005

10 EUV-Multilayer Multilayer: Excellent performance of central wavelength 1.00% 0.80% Uniformity of Centroid Wavelength 0.4% uniformity of central wavelength achieved Full Range 0.60% 0.40% 0.20% 0.00% Blank Number Offset of Centroid Wavelength 0.06 Deviation from Target [nm] Offset of central wavelength from target value well below 0.06 nm (Specification according to SEMATECH Roadmap) Blank Number 10 Tuesday, November 8th, 2005

11 Excellent improvements: Integrated EUV mask blank of Schott Lithotec on track towards production specification Defectivity of Multilayers 0.7 defects/cm 2 (>80nm PSL equivalent) achieved EUV-Performance of Multilayers Multilayer-reflectivity > 64% Uniformity of the reflectivity 0.6% Uniformity of central wavelength: ca. 0.5% Offset of central wavelength from target: < 0.05 nm Flatness of LTEM-Substrates Down to 70 nm on both sides 50 nm on quality area of EUV Alpha Demonstrator tools High spatial frequency roughness of LTEM-Substrates 1.7 Angstroem Local slope of LTEM-Substrates 2.3 mrad 11 Tuesday, November 8th, 2005

12 EUVL Absorber Ta-based Absorber Material: Design for 257 nm inspection wavelength Design includes antireflective coating Low reflectivity <13.5% at 257 nm Thickness uniformity of 3σ < 1% (140 mm x 140 mm) 12 Tuesday, November 8th, 2005

13 EUVL Absorber Ta-based Absorber Material: Good dry etch performance CD uniformity of 3σ = 6.4 nm Sidewall angle larger than Tuesday, November 8th, 2005 Dry etch process developed by IMS Chips Stuttgart/Germany and AMTC Dresden/Germany Further data have been presented at BACUS Photomask 2005

14 Actinic Inspection EUV PEEM: Actinic mask blank defect inspection by EUV PEEM Goal: Development of a full field high resolution at wavelength microscopy technique for fast detection of small defects (50nm size and smaller) in EUVL mask blanks Future tool production and distribution by Focus GmbH Basic principle of the technique Concept Visualization of the wavefront distortion (caused by defects) of the standing EUV wavefield in the ML using a photoelectron emission microscope (PEEM), taking advantage of high electron emission in surface regions with wave front anti-nodes low electron emission for node regions Wavefront phase for node-antinode adoption accomplished by tuning photon energy around 13.5 nm 14 Tuesday, November 8th, 2005

15 Actinic Inspection EUV PEEM: EUV PEEM with toroidal multilayer coated microreflector Setup at BESSY II in Berlin Sample stage with Mask blank toroidal refocusing microreflector 15 Tuesday, November 8th, 2005

16 Actinic Inspection EUV PEEM: Visualization of programmed phase defects 50 nm * 1 µm 1 µm * 1 µm SEM images of an array of 50 nm high Ti nanostructures Images taken BEFORE sputtering the structures with a Mo/Si EUV multilayer 16 Tuesday, November 8th, 2005

17 Actinic Inspection EUV PEEM: Improved actinic defect sensitivity due to refocusing multilayer optic Mid resolution image : High resolution image : Native defects Field of view : 110 mm Field of view : 25 mm Programmed defect (50 nm * 50 nm) 17 Tuesday, November 8th, 2005

18 Actinic Inspection EUV PEEM: PEEM can address 30nm defects PEEM resolution tests with Hg-Lamp (250nm radiation) Field of View 13µm Field of View 2.3µm PEEM: Illumination with Reflector (first try) More Moore _ % % averaged counts % 0% 29nm 29 nm Field of View 110µm x (nm) 18 Tuesday, November 8th, 2005

19 Status Summary: EUV mask blanks from SCHOTT Lithotec are available now EUVL mask blanks based on low defect substrates with flatness down to 70 nm 0.4% central wavelength uniformity achieved Low defect dry-etch optimized buffer and absorber 0.01 defects/cm² for backside coatings on quartz Characterized by advanced metrology of LTEM Substrates and EUV Mask Blanks Actinic inspection by EUV PEEM: Enhanced sensitivity by improved multilayer optics Status: 50nm defect sensitivity for programmed phase defects achieved Goal: 20nm defect sensitivity seems achievable 19 Tuesday, November 8th, 2005

20 Acknowledgements Acknowledgements Would like to thank Florian Letzkus (IMS Chips, Stuttgart/Germany ) as well as Uwe Dersch (AMTC Dresden/Germany) and colleagues for dry etch development German Ministry of Education and Research (contract-no. 13N8853) European project: Medea+ EXTUMASK European project: More Moore (project n : IST IP ) 20 Tuesday, November 8th, 2005

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview EUV Mask Flatness & Carrier/Loadport Workshop October 19 th 2006 - Barcelona Spain EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview Phil Seidel, Chris Van Peski Stefan Wurm

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM

Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM Uwe Dersch 1*, Arnd Korn 1, Cornelia Engelmann 1, Carl Georg Frase 2**, Wolfgang Häßler-Grohne 2, Harald Bosse 2, Florian Letzkus 3, Jörg

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Multilayer Collector Optics for Water Window Microscopy

Multilayer Collector Optics for Water Window Microscopy Multilayer Collector Optics for Water Window Microscopy 2015 International Workshop on EUV and soft X-Ray Sources Torsten Feigl 1, Hagen Pauer 1, Tobias Fiedler 1, Marco Perske 1, Holger Stiel 2,3, Christian

More information

LPP collector mirrors coating, metrology and refurbishment

LPP collector mirrors coating, metrology and refurbishment LPP collector mirrors coating, metrology and refurbishment 2013 International Workshop on EUV and soft X-Ray Sources Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler optix fab GmbH Christian Laubis,

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Collector development with IR suppression and EUVL optics refurbishment at RIT

Collector development with IR suppression and EUVL optics refurbishment at RIT Collector development with IR suppression and EUVL optics refurbishment at RIT Yuriy Platonov, Michael Kriese, Raymond Crucet, Yang Li, Vladimir Martynov, Licai Jiang, Jim Rodriguez Rigaku Innovative Technologies

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Status of EUVL Multilayer Optics Deposition at RIT

Status of EUVL Multilayer Optics Deposition at RIT Status of EUVL Multilayer Optics Deposition at RIT Yuriy Platonov, Jim Rodriguez, Mike Kriese, Vladimir Martynov Rigaku Innovative Technologies, 1900 Taylor Rd., Auburn Hills, MI 48326, USA Outline RIT

More information

EUVL Mask Manufacturing-Technologies and Results

EUVL Mask Manufacturing-Technologies and Results EUVL Mask Manufacturing-Technologies and Results Florian Letzkus *a, Joerg Butschke a, Mathias Irmscher a, Holger Sailer a, Uwe Dersch b, Christian Holfeld b a IMS Chips, Allmandring 3a, 7569 Stuttgart,

More information

IN-LAB PELLICLE METROLOGY CHALLENGES

IN-LAB PELLICLE METROLOGY CHALLENGES IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University 04.10.2015, Maastricht Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION Testing of Lateral Resolution in the Nanometre Range Using the BAM-L002 - Certified Reference Material: Application to ToF-SIMS IV and NanoESCA Instruments M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1),

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Bandpass Edge Dichroic Notch & More

Bandpass Edge Dichroic Notch & More Edmund Optics BROCHURE Filters COPYRIGHT 217 EDMUND OPTICS, INC. ALL RIGHTS RESERVED 1/17 Bandpass Edge Dichroic Notch & More Contact us for a Stock or Custom Quote Today! USA: +1-856-547-3488 EUROPE:

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Ion Assisted Deposition Processes for Precision and Laser Optics

Ion Assisted Deposition Processes for Precision and Laser Optics Ion Assisted Deposition Processes for Precision and Laser Optics H. Ehlers, T. Groß, M. Lappschies, and D. Ristau Laser Zentrum Hannover e.v. Germany Introduction Ion assisted deposition (IAD) processes

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Adaptive Optics for LIGO

Adaptive Optics for LIGO Adaptive Optics for LIGO Justin Mansell Ginzton Laboratory LIGO-G990022-39-M Motivation Wavefront Sensor Outline Characterization Enhancements Modeling Projections Adaptive Optics Results Effects of Thermal

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting Simon Hall,Paul Miller, Neil Haigh, Ben Thornton, Neil Haigh (Lux TSI) 25 th April 2013 Background

More information

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany State-of-the-art thin film X-ray optics for synchrotrons and FEL sources Frank Hertlein Incoatec GmbH Geesthacht, Germany Incoatec: Innovative Coating Technologies Incoatec is founded with Bruker AXS in

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Progresses in NIL Template Fabrication Naoya Hayashi

Progresses in NIL Template Fabrication Naoya Hayashi Progresses in NIL Template Fabrication Naoya Hayashi Electronic Device Operations Dai Nippon Printing Co., Ltd. Contents 1. Introduction Motivation NIL mask fabrication process 2. NIL mask resolution improvement

More information

Inspection of templates for imprint lithography

Inspection of templates for imprint lithography Inspection of templates for imprint lithography Harald F. Hess, a) Don Pettibone, David Adler, and Kirk Bertsche KLA-Tencor 160 Rio Robles, San Jose, California 95134 Kevin J. Nordquist, David P. Mancini,

More information

Kalman Filtering Methods for Semiconductor Manufacturing

Kalman Filtering Methods for Semiconductor Manufacturing Kalman Filtering Methods for Semiconductor Manufacturing Kameshwar Poolla Mechanical Engineering Electrical Engineering University of California Berkeley Outline Kalman Filtering Overview Ingredients Applications

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Properties of a Detector

Properties of a Detector Properties of a Detector Quantum Efficiency fraction of photons detected wavelength and spatially dependent Dynamic Range difference between lowest and highest measurable flux Linearity detection rate

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline Focusing X-ray beams below 50 nm using bent multilayers O. Hignette Optics group European Synchrotron Radiation Facility (FRANCE) Outline Graded multilayers resolution limits 40 nanometers focusing Fabrication

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

CCD30-11 NIMO Back Illuminated Deep Depleted High Performance CCD Sensor

CCD30-11 NIMO Back Illuminated Deep Depleted High Performance CCD Sensor CCD30-11 NIMO Back Illuminated Deep Depleted High Performance CCD Sensor FEATURES 1024 by 256 Pixel Format 26µm Square Pixels Image area 26.6 x 6.7mm Back Illuminated format for high quantum efficiency

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

High Performance. Image Intensifiers

High Performance. Image Intensifiers High Performance Image Intensifiers Image Intensifier Diodes PROXIFIER and MCP Image Intensifiers MCP-PROXIFIER Features Outstanding gain up to > 10 8 W/W High Quantum Efficiency up to 35 % Excellent Resolution

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

CBT-90-UV-405 LEDs. CBT-90-UV-405 CBT-120 Product Datasheet. Features: Table of Contents. Applications

CBT-90-UV-405 LEDs. CBT-90-UV-405 CBT-120 Product Datasheet. Features: Table of Contents. Applications CBT-9-UV-45 CBT-2 Product Datasheet Datasheet CBT-9-UV-45 LEDs Table of Contents Technology Overview...2 Optical & Electrical Characteristics...3 Features: >6.5 W of optical power from 4 nm to 4 nm. High

More information

New methodology for through silicon via array macroinspection

New methodology for through silicon via array macroinspection New methodology for through silicon via array macroinspection Yoshihiko Fujimori Takashi Tsuto Yuji Kudo Takeshi Inoue Kyoichi Suwa Kazuya Okamoto J. Micro/Nanolith. MEMS MOEMS 12(1), 013013 (Jan Mar 2013)

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information