IN-LAB PELLICLE METROLOGY CHALLENGES

Size: px
Start display at page:

Download "IN-LAB PELLICLE METROLOGY CHALLENGES"

Transcription

1 IN-LAB PELLICLE METROLOGY CHALLENGES Serhiy Danylyuk RWTH Aachen University , Maastricht

2 Pellicle requirements Pellicle requirem ent HVM Target EUV transmission 90% single pass Spatial non-uniformity of the transmission <0.2% Angular non-uniformity of the transmission <300 mrad Dynamic heat load 5.6 W/cm 2 (250 W IF) *C. Zoldesi, et al., Progress on EUV pellicle development, Proc. SPIE 9048 (2014) Page 2

3 EUV Transmission measurements EUV source plasma-based sources (inherent pulse-to-pulse intensity fluctuation of a few %) Reference signal or very precise dose monitoring Beam splitting Side-view plasma emission monitors In-beam intensity measurements source pellicle detector Detector Diode, CCD, Resists I 0 I Page 3

4 EUV Transmission measurements EUV source plasma-based sources (inherent pulse-to-pulse intensity fluctuation of a few %) Reference signal or very precise dose monitoring Beam splitting (easy only with small samples or near the edge) Side-view plasma emission monitors In-beam intensity measurements source pellicle detector Detector Diode, CCD, Resists I 0 I 0 I Page 4

5 EUV Transmission measurements EUV source plasma-based sources (inherent pulse-to-pulse intensity fluctuation of a few %) Reference signal or very precise dose monitoring Beam splitting Side-view plasma emission monitors In-beam intensity measurements source pellicle detector Detector Page 5 Diode, CCD, Resists I 0 k*i 0 Reference detector I

6 EUV Transmission measurements EUV source plasma-based sources (inherent pulse-to-pulse intensity fluctuation of a few %) Reference signal or very precise dose monitoring Beam splitting Side-view plasma emission monitors In-beam intensity measurements source pellicle detector Detector Diode, CCD, Resists I 0 I Page 6

7 Multilayer based energy monitor for absolute measurement of the 2% Inband power at 13.5 nm st mirror 2nd mirror 1.8 % b.w. 0.4 REFLECTIVITY single REFLECTIVITY double Reference ML-tool E k source 13.5nm2% b. w tool 0 J spect ( ) S J spect 13.5nm 2% Tool tool ( ) d 1 k ( ) d tool T tool 13.5nm Udt R WAVELENGTH [ nm ] p:<euv_kollektor.socomo.mlird-tools.tool_2percent>doubleml.opj ML1 277,3 22,2 AXUV Diode ML2 D=6 mm

8 Transmission measurements: Setup 1 Experimental setup Close-up of filter wheel Setup 1 utilizes DPP EUV source from Bruker/RI and 8 slot filter wheel for fast sample exchange. Page 8

9 Transmission measurements: Setup 2 Scheme of setup (in reflectivity mode) Experimental setup Setup 2 utilizes DPP EUV source from Bruker/RI and two photodiodes each with a Zr filter. It can be used in reflectivity and transmission mode. For detailed information: K. Bergmann, Review of Scientific Instruments 76, (2005) Page 9

10 Results ,5 80 single pulse 8 pulses average 64 pulses average 55,0 Diode signal (mv) Transmission [%] 54,5 54,0 53,5 54,908 0,271% 20 53,0 52,5 10 measurements per point Time (µs) Averaged pulses per measurement The results show that the precision for diode based techniques is mainly limited by the noise of the diode signals. Averaging more pulses improved the results dramatically due to much smoother noise floors. Page 10

11 Transmission (%) BENCHMARKING OF TRANSMISSION MEASUREMENTS Reference pellicle sample (SiNx ~90nm) was used to compare transmission results between RWTH and imec 60% 58% 57.5%+/-0.7% E 0,Pel 56% 56.0% +/-0.3% 54% Transmission Tr pel 52% Pellicle (in proximity to resist) Photoresist E 0 E 0 50% RWTH/ILT imec 11 = E 0,Pel Tr pel Over-all good agreement in transmission measurement result! Small difference is due to subtle difference in wavelength center of ML reflectivity (~0.3nm) in the different tools In both cases transmission of narrowband EUV (ML based) is analyzed with and without pellicle imec detector = photoresist RWTH detector = calibrated photodiode

12 Transmission uniformity measurements The target is 0.2% uniformity, but measured with what res olution? With several millimeters between mask and pellicle only micrometer scale fluctuations are of importance Ray-tracing 50µm mesh source pellicle detector d=10 mm d=180 mm I 0 d 12

13 Transmission uniformity measurements 0.5 mm reference opening Experimental setup Membrane holder fixed to the CCD camera Setup utilises high power EUV source from ILT and custom sample mount Page 13

14 Transmission uniformity measurements Intensity before pellicle Intensity after pellicle (normalized to reference beam) 50 Transmission[%] % band Position [mm] 1,00 Uniformity noise floor s=0.13% for the choosen data stack (10 pictures) CCD signal [counts] Transmission 0,75 0,50 0,25 Reference Membrane Transmission 0,5 0,4 0,3 0,2 0,1 Page Position [mm] 0, Position [mm] 0,0 3,80 3,82 3,84 3,86 3,88 3,90 Position [mm] Knife-edge resolution 48µm

15 Dynamic heat load Current target is 5.6 W/cm 2 for 250 W source (~0.9 W/cm 2 absorbed) For 1 kw source the power density on pellicle will exceed 22 W/cm 2 High power test tool in Aachen Currently using RI source, already providing 2W/cm 2 peak irradiance in 60µm spot Easily upgradable with ILT source, with peak irradiance exceeding 30W/cm 2 without design changes Page 15 *More at the poster P-RE-10

16 Pellicle reflectivity Normally ignored Can, however, for certain materials be in 0.5% range Governed by real part of the refractive index mask pellicle Example : Ru coated 13.5 nm ML mirror for 80 Metrology is already available, specifications? REFLECTVITY [ % ] 10 1 Page 16 0, GI-ANGLE [ ]

17 SUMMARY Current demands to actinic pellicle metrology can be fulfilled with laboratory tools Uniformity specifications should include required resolution of the transmission pellicle map Reflection specification? From actinic metrology point of view there should be no obstacles on the way to HVM introduction of the pellicles.

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2 1 AIXUV GmbH, Steinbachstrasse 15, D-52074 Aachen, Germany 2 Fraunhofer Institut für Lasertechnik 3 Lehrstuhl für Lasertechnik, RWTH Aachen

More information

Compact EUV Source for Metrology and Inspection

Compact EUV Source for Metrology and Inspection Compact EUV Source for Metrology and Inspection Klaus Bergmann, Jochen Vieker, Alexander von Wezyk 2015 EUV Source Workshop, 10.11.2015, Dublin Overview Introduction Xenon based EUV Source FS5420 Consideration

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

S26 Basic research on 6.x nm EUV generation by laser produced plasma

S26 Basic research on 6.x nm EUV generation by laser produced plasma S26 Basic research on 6.x nm EUV generation by laser produced plasma Tsukasa Hori, Tatsuya Yanagida, Hitoshi Nagano, Yasunori Wada, Soumagne Georg, Junichi Fujimoto*, Hakaru Mizoguchi* e-mail : tsukasa_hori@komatsu.co.jp

More information

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools

XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools XUV Research with Compact DPP and LPP Laboratory Sources: Complementary to Beamlines and Large Scale Industrial Tools Rainer Lebert 1, Christoph Phiesel 1, Thomas Mißalla 1, Christian Piel 1, Alexander

More information

membrane sample EUV characterization

membrane sample EUV characterization membrane sample EUV characterization Christian Laubis, PTB Outline PTB's synchrotron radiation lab Scatter from structures Scatter from random rough surfaces Measurement geometries SAXS Lifetime testing

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies, Steinbachstrasse 5, D-, Germany and partners developed several tools for EUV-reflectometry in different designs for various types of applications.

More information

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation

A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation A novel High Average Power High Brightness Soft X-ray Source using a Thin Disk Laser System for optimized Laser Produced Plasma Generation I. Mantouvalou, K. Witte, R. Jung, J. Tümmler, G. Blobel, H. Legall,

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

High repetition-rate LPP-source facility for EUVL

High repetition-rate LPP-source facility for EUVL High repetition-rate LPP-source facility for EUVL T. chmid *,. A. George, J. Cunado,. Teerawattanasook, R. Bernath, C. Brown, K. Takenoshita, C.-. Koay, and M. Richardson College of Optics & Photonics,

More information

Effect of Beam Size on Photodiode Saturation

Effect of Beam Size on Photodiode Saturation Effect of Beam Size on Photodiode Saturation Experiments were conducted to demonstrate a change in the saturation point for a FDS1010 silicon photodiode as a function of beam diameter. The saturation point

More information

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose 1 Japan Update EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda SOURCE TWG 2 March, 2005 San Jose Outline 2 EUVA LPP at Hiratsuka R&D Center GDPP at Gotenba Branch Lab.

More information

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters!

The UCD community has made this article openly available. Please share how this access benefits you. Your story matters! Provided by the author(s) and University College Dublin Library in accordance with publisher policies., Please cite the published version when available. Title Robust liquid metal collector mirror for

More information

Development of a fast EUV movie camera for Caltech spheromak jet experiments

Development of a fast EUV movie camera for Caltech spheromak jet experiments P1.029 Development of a fast EUV movie camera for Caltech spheromak jet experiments K. B. Chai and P. M. Bellan ` California Institute of Technology kbchai@caltech.edu Caltech Spheromak gun 2 Target: study

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

REAL TIME THICKNESS MEASUREMENT OF A MOVING WIRE

REAL TIME THICKNESS MEASUREMENT OF A MOVING WIRE REAL TIME THICKNESS MEASUREMENT OF A MOVING WIRE Bini Babu 1, Dr. Ashok Kumar T 2 1 Optoelectronics and communication systems, 2 Associate Professor Model Engineering college, Thrikkakara, Ernakulam, (India)

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

White Paper: Modifying Laser Beams No Way Around It, So Here s How

White Paper: Modifying Laser Beams No Way Around It, So Here s How White Paper: Modifying Laser Beams No Way Around It, So Here s How By John McCauley, Product Specialist, Ophir Photonics There are many applications for lasers in the world today with even more on the

More information

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG

Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG Wavelength Stabilization of HPDL Array Fast-Axis Collimation Optic with integrated VHG C. Schnitzler a, S. Hambuecker a, O. Ruebenach a, V. Sinhoff a, G. Steckman b, L. West b, C. Wessling c, D. Hoffmann

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Panel discussion Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography Akira Endo * Extreme Ultraviolet Lithography System Development Association Gigaphoton Inc * 2008 EUVL Workshop 11

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

Description of options, upgrades and accessories for the laser beam stabilization system Compact

Description of options, upgrades and accessories for the laser beam stabilization system Compact Description of options, upgrades and accessories for the laser beam stabilization system Compact The basic configuration of the Compact laser beam stabilization system is fully equipped for stabilization

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al.

PROCEEDINGS OF SPIE. LPP-EUV light source for HVM lithography. T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie LPP-EUV light source for HVM lithography T. Saito, Y. Ueno, T. Yabu, A. Kurosawa, S. Nagai, et al. Invited Paper LPP-EUV light

More information

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies

The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies The TSIS Spectral Irradiance Monitor: Prism Optical Degradation Studies Lo Erik Richard, Dave Harber, Joel Rutkowski, Matt Triplett, Kasandra O Malia Laboratory for Atmospheric and Space Physics (LASP)

More information

The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, Kraków, Poland.

The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, Kraków, Poland. The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, 31-342 Kraków, Poland. www.ifj.edu.pl/reports/2003.html Kraków, grudzień 2003 Report No 1931/PH

More information

Development of X-ray Tool For Critical- Dimension Metrology

Development of X-ray Tool For Critical- Dimension Metrology Development of X-ray Tool For Critical- Dimension Metrology Boris Yokhin, Alexander Krokhmal, Alexander Dikopoltsev, David Berman, Isaac Mazor Jordan Valley Semiconductors Ltd., Ramat Gabriel Ind. Zone,

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Measurements of MeV Photon Flashes in Petawatt Laser Experiments

Measurements of MeV Photon Flashes in Petawatt Laser Experiments UCRL-JC-131359 PREPRINT Measurements of MeV Photon Flashes in Petawatt Laser Experiments M. J. Moran, C. G. Brown, T. Cowan, S. Hatchett, A. Hunt, M. Key, D.M. Pennington, M. D. Perry, T. Phillips, C.

More information

Real-time Laser Beam Position Detector. XY-4QD User Manual

Real-time Laser Beam Position Detector. XY-4QD User Manual Real-time Laser Beam Position Detector XY4QD User Manual page 1 of 7 1. Introduction The XY4QD is a 4-quadrant-diode with readout electronics and outputs for x and y position. The position of the laser

More information

Bridging the Gap Between Tools & Applications

Bridging the Gap Between Tools & Applications EUV Workshop, Dublin 7-9 November 2011 The EUV Laser Program at the University of Bern Bridging the Gap Between Tools & Applications D. Bleiner, J.E. Balmer, F. Staub, J. Fei, L. Masoudnia, M. Ruiz Universität

More information

Laser Beam Analysis Using Image Processing

Laser Beam Analysis Using Image Processing Journal of Computer Science 2 (): 09-3, 2006 ISSN 549-3636 Science Publications, 2006 Laser Beam Analysis Using Image Processing Yas A. Alsultanny Computer Science Department, Amman Arab University for

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE*

High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* High Rep-Rate KrF Laser Development and Intense Pulse Interaction Experiments for IFE* Y. Owadano, E. Takahashi, I. Okuda, I. Matsushima, Y. Matsumoto, S. Kato, E. Miura and H.Yashiro 1), K. Kuwahara 2)

More information

GaN-based Schottky diodes for EUV/VUV/UV photodetection

GaN-based Schottky diodes for EUV/VUV/UV photodetection 1 GaN-based Schottky diodes for EUV/VUV/UV photodetection F. Shadi Shahedipour-Sandvik College of Nanoscale Science and Engineering University at Albany - SUNY, Albany NY 12203 cnse.albany.edu sshahedipour@uamail.albany.edu

More information

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography

1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography 1 st /2nd generation Laser-Produced Plasma source system for HVM EUV lithography Hakaru Mizoguchi*1, Tamotsu Abe, Yukio Watanabe, Takanobu Ishihara, Takeshi Ohta,Tsukasa Hori, Tatsuya Yanagida, Hitoshi

More information

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics

A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics A simple null-field ellipsometric imaging system (NEIS) for in situ monitoring of EUV-induced deposition on EUV optics Rashi Garg 1, Nadir Faradzhev 2, Shannon Hill 3, Lee Richter 3, P. S. Shaw 3, R. Vest

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Measuring with Interference and Diffraction

Measuring with Interference and Diffraction Team Physics 312 10B Lab #3 Date: Name: Table/Team: Measuring with Interference and Diffraction Purpose: In this activity you will accurately measure the width of a human hair using the interference and

More information

Improved Radiometry for LED Arrays

Improved Radiometry for LED Arrays RadTech Europe 2017 Prague, Czech Republic Oct. 18, 2017 Improved Radiometry for LED Arrays Dr. Robin E. Wright 3M Corporate Research Process Laboratory, retired 3M 2017 All Rights Reserved. 1 Personal

More information

NIRCam optical calibration sources

NIRCam optical calibration sources NIRCam optical calibration sources Stephen F. Somerstein, Glen D. Truong Lockheed Martin Advanced Technology Center, D/ABDS, B/201 3251 Hanover St., Palo Alto, CA 94304-1187 ABSTRACT The Near Infrared

More information

Relative Quantum Efficiency Measurements of the ROSS Streak Camera Photocathode. Alex Grammar

Relative Quantum Efficiency Measurements of the ROSS Streak Camera Photocathode. Alex Grammar Relative Quantum Efficiency Measurements of the ROSS Streak Camera Photocathode Alex Grammar Relative Quantum Efficiency Measurements of the ROSS Streak Camera Photocathode Alex Grammar Advised by Dr.

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

Simple interferometric fringe stabilization by CCD-based feedback control

Simple interferometric fringe stabilization by CCD-based feedback control Simple interferometric fringe stabilization by CCD-based feedback control Preston P. Young and Purnomo S. Priambodo, Department of Electrical Engineering, University of Texas at Arlington, P.O. Box 19016,

More information

PowerMax-Pro Sensors. 100 mw to 150W FEATURES

PowerMax-Pro Sensors. 100 mw to 150W FEATURES PowerMax-Pro Sensors PowerMax-Pro (Patent #9,012,848) represents a dramatic technological advancement in laser power sensing that combines the broad wavelength sensitivity, dynamic range and laser damage

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources

Power scaling of picosecond thin disc laser for LPP and FEL EUV sources Power scaling of picosecond thin disc laser for LPP and FEL EUV sources A. Endo 1,2, M. Smrz 1, O. Novak 1, T. Mocek 1, K.Sakaue 2 and M.Washio 2 1) HiLASE Centre, Institute of Physics AS CR, Dolní Břežany,

More information

A novel solution for various monitoring applications at CERN

A novel solution for various monitoring applications at CERN A novel solution for various monitoring applications at CERN F. Lackner, P. H. Osanna 1, W. Riegler, H. Kopetz CERN, European Organisation for Nuclear Research, CH-1211 Geneva-23, Switzerland 1 Department

More information

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics

High Power Thin Disk Lasers. Dr. Adolf Giesen. German Aerospace Center. Institute of Technical Physics. Folie 1. Institute of Technical Physics High Power Thin Disk Lasers Dr. Adolf Giesen German Aerospace Center Folie 1 Research Topics - Laser sources and nonlinear optics Speiser Beam control and optical diagnostics Riede Atm. propagation and

More information

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Silicon Photodiodes - SXUV Series with Platinum Silicide Front Entrance Windows

Silicon Photodiodes - SXUV Series with Platinum Silicide Front Entrance Windows Silicon Photodiodes - SXUV Series with Platinum Silicide Front Entrance Windows SXUV Responsivity Stability It is known that the UV photon exposure induced instability of common silicon photodiodes is

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Novel laser power sensor improves process control

Novel laser power sensor improves process control Novel laser power sensor improves process control A dramatic technological advancement from Coherent has yielded a completely new type of fast response power detector. The high response speed is particularly

More information

REU Student: Si (Athena) Pan Connecticut College Mentor: Dimitre Ouzounov Graduate Student Mentor: Heng Li Summer 2008

REU Student: Si (Athena) Pan Connecticut College Mentor: Dimitre Ouzounov Graduate Student Mentor: Heng Li Summer 2008 REU Student: Si (Athena) Pan Connecticut College Mentor: Dimitre Ouzounov Graduate Student Mentor: Heng Li Summer 008 Ultrashort pulses, its measurement and motivation of my project Two-photon absorption

More information

PoS(PhotoDet 2012)058

PoS(PhotoDet 2012)058 Absolute Photo Detection Efficiency measurement of Silicon PhotoMultipliers Vincent CHAUMAT 1, Cyril Bazin, Nicoleta Dinu, Véronique PUILL 1, Jean-François Vagnucci Laboratoire de l accélérateur Linéaire,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

The KrF alternative for fast ignition inertial fusion

The KrF alternative for fast ignition inertial fusion The KrF alternative for fast ignition inertial fusion IstvánB Földes 1, Sándor Szatmári 2 Students: A. Barna, R. Dajka, B. Gilicze, Zs. Kovács 1 Wigner Research Centre of the Hungarian Academy of Sciences,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science Student Name Date MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science 6.161 Modern Optics Project Laboratory Laboratory Exercise No. 3 Fall 2005 Diffraction

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

Instructions for the Experiment

Instructions for the Experiment Instructions for the Experiment Excitonic States in Atomically Thin Semiconductors 1. Introduction Alongside with electrical measurements, optical measurements are an indispensable tool for the study of

More information

Holography as a tool for advanced learning of optics and photonics

Holography as a tool for advanced learning of optics and photonics Holography as a tool for advanced learning of optics and photonics Victor V. Dyomin, Igor G. Polovtsev, Alexey S. Olshukov Tomsk State University 36 Lenin Avenue, Tomsk, 634050, Russia Tel/fax: 7 3822

More information

High resolution cavity-enhanced absorption spectroscopy with a mode comb.

High resolution cavity-enhanced absorption spectroscopy with a mode comb. CRDS User meeting Cork University, sept-2006 High resolution cavity-enhanced absorption spectroscopy with a mode comb. T. Gherman, S. Kassi, J. C. Vial, N. Sadeghi, D. Romanini Laboratoire de Spectrométrie

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Physics 248 Spring 2009 Lab 1: Interference and Diffraction

Physics 248 Spring 2009 Lab 1: Interference and Diffraction Name Section Physics 248 Spring 2009 Lab 1: Interference and Diffraction Your TA will use this sheet to score your lab. It is to be turned in at the end of lab. You must clearly explain your reasoning

More information

Tailored bar concepts for 10 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers

Tailored bar concepts for 10 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers Tailored bar concepts for 1 mm-mrad fiber coupled modules scalable to kw-class direct diode lasers Andreas Unger*, Ross Uthoff, Michael Stoiber, Thomas Brand, Heiko Kissel, Bernd Köhler, Jens Biesenbach

More information

MUSKY: Multispectral UV Sky camera. Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM

MUSKY: Multispectral UV Sky camera. Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM MUSKY: Multispectral UV Sky camera Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM Outline Purpose of the instrument Required specs Hyperspectral or multispectral? Optical design

More information

EUVL Activities in China

EUVL Activities in China EUVL Activities in China Yanqiu Li Beijing Institute of Technology (BIT) Phone/Fax: 010-68918443 Email: liyanqiu@bit.edu.cn June 13, 2013 HI Contents Overview of EUVL in China System EUVL Optics EUV Metrology

More information

Spark Spectral Sensor Offers Advantages

Spark Spectral Sensor Offers Advantages 04/08/2015 Spark Spectral Sensor Offers Advantages Spark is a small spectral sensor from Ocean Optics that bridges the spectral measurement gap between filter-based devices such as RGB color sensors and

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region

Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Feature Article JY Division I nformation Optical Spectroscopy Applications of Steady-state Multichannel Spectroscopy in the Visible and NIR Spectral Region Raymond Pini, Salvatore Atzeni Abstract Multichannel

More information

Detection and application of Doppler and motional Stark features in the DNB emission spectrum in the high magnetic field of the Alcator C-Mod tokamak

Detection and application of Doppler and motional Stark features in the DNB emission spectrum in the high magnetic field of the Alcator C-Mod tokamak Detection and application of Doppler and motional Stark features in the DNB emission spectrum in the high magnetic field of the Alcator C-Mod tokamak I. O. Bespamyatnov a, W. L. Rowan a, K. T. Liao a,

More information

Ultra-stable flashlamp-pumped laser *

Ultra-stable flashlamp-pumped laser * SLAC-PUB-10290 September 2002 Ultra-stable flashlamp-pumped laser * A. Brachmann, J. Clendenin, T.Galetto, T. Maruyama, J.Sodja, J. Turner, M. Woods Stanford Linear Accelerator Center, 2575 Sand Hill Rd.,

More information

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter EUV Beam Splitter 1 Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter First Semester Report Full Report By: Andrew Wiley Maram Alfaraj Prepared to partially fulfill the requirements

More information

APRAD SOR Excimer group -Progress Report 2011-

APRAD SOR Excimer group -Progress Report 2011- APRAD SOR Excimer group -Progress Report 011- The DPP EUV source activity During 011 the work on the DPP (Discharge Produced Plasma) source of Extreme Ultraviolet (EUV) radiation has been devoted to a

More information

Summer Student project report

Summer Student project report Summer Student project report Mika Väänänen September 1, 2017 Abstract In this report I give a brief overview of my activities during the summer student project. I worked on the scintillating fibre (SciFi)

More information

Commissioning the Echo-Seeding Experiment ECHO-7 at NLCTA

Commissioning the Echo-Seeding Experiment ECHO-7 at NLCTA Commissioning the Echo-Seeding Experiment ECHO-7 at NLCTA Stephen Weathersby for the ECHO-7 team D. Xiang, E. Colby, M. Dunning, S. Gilevich, C. Hast, K. Jobe, D. McCormick, J. Nelson, T.O. Raubenheimer,

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Light Microscopy. Upon completion of this lecture, the student should be able to:

Light Microscopy. Upon completion of this lecture, the student should be able to: Light Light microscopy is based on the interaction of light and tissue components and can be used to study tissue features. Upon completion of this lecture, the student should be able to: 1- Explain the

More information

Adaptive optics for laser-based manufacturing processes

Adaptive optics for laser-based manufacturing processes Adaptive optics for laser-based manufacturing processes Rainer Beck 1, Jon Parry 1, Rhys Carrington 1,William MacPherson 1, Andrew Waddie 1, Derryck Reid 1, Nick Weston 2, Jon Shephard 1, Duncan Hand 1

More information

Spectroscopy of Ruby Fluorescence Physics Advanced Physics Lab - Summer 2018 Don Heiman, Northeastern University, 1/12/2018

Spectroscopy of Ruby Fluorescence Physics Advanced Physics Lab - Summer 2018 Don Heiman, Northeastern University, 1/12/2018 1 Spectroscopy of Ruby Fluorescence Physics 3600 - Advanced Physics Lab - Summer 2018 Don Heiman, Northeastern University, 1/12/2018 I. INTRODUCTION The laser was invented in May 1960 by Theodor Maiman.

More information

Testing Aspheric Lenses: New Approaches

Testing Aspheric Lenses: New Approaches Nasrin Ghanbari OPTI 521 - Synopsis of a published Paper November 5, 2012 Testing Aspheric Lenses: New Approaches by W. Osten, B. D orband, E. Garbusi, Ch. Pruss, and L. Seifert Published in 2010 Introduction

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

Cascaded holographic spectrographs for astronomical applications

Cascaded holographic spectrographs for astronomical applications Cascaded holographic spectrographs for astronomical applications advanced modelling and experimental proof Eduard Muslimov Postdoc, group RnD, LAM RnD seminars, September 28 th 2017 Outline of the talk

More information

Measuring the Output Performance of UV LEDs

Measuring the Output Performance of UV LEDs Measuring the Output Performance of UV LEDs Paul Mills May 2012 UV LED Measurement: We have the answers, but what are the questions? MEASURING LocaCon, THE OUTPUT On The PERFORMANCE Free OF UV LEDS Home

More information

LCLS-II-HE Instrumentation

LCLS-II-HE Instrumentation LCLS-II-HE Instrumentation Average Brightness (ph/s/mm 2 /mrad 2 /0.1%BW) LCLS-II-HE: Enabling New Experimental Capabilities Structural Dynamics at the Atomic Scale Expand the photon energy reach of LCLS-II

More information