Role of mask on the contact etching for 14nm nodes

Size: px
Start display at page:

Download "Role of mask on the contact etching for 14nm nodes"

Transcription

1 Role of mask on the contact etching for 14nm nodes MEBARKI Mokrane 1,2,3 Maxime Darnon 2, Cecile Jenny 1, Nicolas Posseme 3, Delia Ristoiu 1,Germain Servanton 1, Olivier Joubert 2 1 ST Microelectronics 2 Laboratoire des technologies de la microélectronique (LTM) CNRS 3 CEA LETI PESM Monday, May Grenoble, France

2 Outline 2 Double patterning for contact etching Double patterning strategy Mask Opening Comparison between N 2 /H 2 vs COS/O 2 Silicon oxide etching Interaction with mask opening process Conclusion

3 Double patterning for contact etching: 3 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning First patterning 1. deposition Si 3 N 4

4 Double patterning for contact etching: 3 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning First patterning Si 3 N 4 1. deposition 2. Trilayer line pattern Cross section post etching

5 Double patterning for contact etching: 3 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning First patterning Hard mask Si 3 N 4 2. Trilayerline 1. deposition pattern 3. Post etching of hard mask Cross section post etching

6 Double patterning for contact etching: 4 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning Second patterning (Cross section) Hard mask Si 3 N 4 1. Post etching of hard mask Hard mask 2. Trilayer mask pattern Cross section post etching

7 Double patterning for contact etching: 4 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning Second patterning (Cross section) Hard mask Si 3 N 4 1. Post etching of hard mask 2. Trilayer mask pattern Hard mask 3. Post mask etching

8 Double patterning for contact etching: 4 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning Second patterning (Cross section) Hard mask Si 3 N 4 1. Post etching of hard mask 2. Trilayer mask pattern 3. Post mask etching Contact Hard mask 4. Post Silicon oxide etching

9 Double patterning for contact etching: 5 strip Post contact etching Double patterning opening Contact opening SEM Top view post contact etching SEM cross section post contact etching

10 Double patterning for contact etching: 6 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning Second patterning (Cross section) Hard mask Hard mask Si 3 N 4 1. Post etching of hard mask 2. Trilayer mask pattern Hard mask 3. Post mask etching Contact 4. Post Silicon oxide etching

11 Characteristics of etching: etching With N 2 /H 2 etching With COS/O 2 (5%) 7 SiARC SiARC Etch rate of according the COS ratio Consumption of SiARC Presence of undercut on sidewalls of mask etching With COS/O 2 (17%) and shorter over etch The increase of COS ratio leads a better conservation of mask. SiARC

12 Characteristics of etching: EDX analysis after etching with COS/O 2 (5%) chemistry : 8 SiARC Ti SiARC observation : Presence of Ti elements Si 3 N 4 EDX analysis for Ti element S EDX analysis for S element EDX analysis after etching with N 2 /H 2 chemistry : SiARC No significant sputtering TEM cross section EDX analysis Interaction between etching and hard mask

13 Double patterning for contact etching: 9 Increase the number of transistors on a chip Reduce the transistors dimension Change of contacts patterning strategy Double patterning Second patterning (Cross section) Hard mask Si 3 N 4 1. Post etching of hard mask 2. Trilayer mask pattern 3. Post mask etching Contact Hard mask 4. Post Silicon oxide etching

14 Interaction with mask opening process: 10 Etch-stop presence after contact etching for the opening with COS/O 2 (5%) etching with COS/O 2 : Post contact etching Taper profiles The contacts are open after contact etching for the opening with N 2 /H 2 etching with N 2 /H 2 : Post contact etching Correct profiles

15 Interaction with mask opening process: EDX analysis after oxyde etching : 11 TiOF Contact Contact EDX after oxide etching with COS/O 2 (5%) for Opening Veil formation of TiO x F y on the holes patterned

16 Originof veil formation: 12 etching with COS/O 2 (5%) etching with N 2 /H 2 SiARC SiARC Ti sputtering Ti residue No significant sputtering EDX analysis Hypothesis : Ti sputtering during Over Etch induces veil EDX analysis After contact etching for formation etching during withsio COS/O 2 etching 2 (5%) Decrease OE time Lower OE Lower sputtering No TiOF veil

17 Conclusion: etch N 2 /H 2 COS/O 2 Taper mask profile Straight mask profile Increase ratio of COS/O 2 Low sputter Correct Etching Oxyde etch sputter Veil formation Etch stop At long OE Contacts open At short OE

18 PESM Monday,May Grenoble, France Thank you for your attention!

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain

IDeAL program : DSA activity at LETI. S. Tedesco R. Tiron L. Pain IDeAL program : DSA activity at LETI S. Tedesco R. Tiron L. Pain Outline Why DSA for microelectronics The IDeAL progam Graphoepitaxy of BCP Contact hole application 300 mm pilot line in LETI Conclusion

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS 2010 Silicon Photonic Circuits: On-CMOS Integration, Fiber Optical Coupling, and Packaging Christophe Kopp, St ephane Bernab e, Badhise Ben Bakir,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor

InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Sonion TC100Z21A DigiSiMic Silicon Condensor Microphone MEMS Process Review

Sonion TC100Z21A DigiSiMic Silicon Condensor Microphone MEMS Process Review November 8, 2006 Sonion TC100Z21A DigiSiMic Silicon Condensor Microphone MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Towards a fully integrated optical gyroscope using whispering gallery modes resonators

Towards a fully integrated optical gyroscope using whispering gallery modes resonators Towards a fully integrated optical gyroscope using whispering gallery modes resonators T. Amrane 1, J.-B. Jager 2, T. Jager 1, V. Calvo 2, J.-M. Leger 1 1 CEA, LETI, Grenoble, France. 2 CEA, INAC-SP2M

More information

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera Imager Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Imager

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Design of Clamped-Clamped Beam Resonator in Thick-Film Epitaxial Polysilicon Technology

Design of Clamped-Clamped Beam Resonator in Thick-Film Epitaxial Polysilicon Technology Design of Clamped-Clamped Beam Resonator in Thick-Film Epitaxial Polysilicon Technology D. Galayko, A. Kaiser, B. Legrand, L. Buchaillot, D. Collard, C. Combi IEMN-ISEN UMR CNRS 8520 Lille, France ST MICROELECTRONICS

More information

Introduction to MOSFET MOSFET (Metal Oxide Semiconductor Field Effect Transistor)

Introduction to MOSFET MOSFET (Metal Oxide Semiconductor Field Effect Transistor) Microelectronic Circuits Introduction to MOSFET MOSFET (Metal Oxide Semiconductor Field Effect Transistor) Slide 1 MOSFET Construction MOSFET (Metal Oxide Semiconductor Field Effect Transistor) Slide 2

More information

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s16/ecse

More information

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process

Micron MT66R7072A10AB5ZZW 1 Gbit Phase Change Memory 45 nm BiCMOS PCM Process Micron MT66R7072A10AB5ZZW 45 nm BiCMOS PCM Process Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Review Some of the information in

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis

Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200. Module 5: Substrate Dopant Analysis Nikon NC81369R 24.2 Mp, 3.8 µm Pixel Size, APS-C Format CMOS Image Sensor from the Nikon D3200 Module 5: Substrate Dopant Analysis Nikon NC81369R CMOS Image Sensor from the Nikon D3200 2 Some of the information

More information

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis May 23, 2006 Maxim MAX3940E Electro-Absorption Modulator Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Xiangqun Miao* a, Lior Huli b, Hao Chen a, Xumou Xu a, Hyungje Woo a, Chris Bencher

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Qualcomm MDM9215M Gobi 4G GSM/CDMA Modem 28 nm LP. Module 2: CMOS FEOL Analysis

Qualcomm MDM9215M Gobi 4G GSM/CDMA Modem 28 nm LP. Module 2: CMOS FEOL Analysis Qualcomm MDM9215M Gobi 4G GSM/CDMA Modem 28 nm LP Module 2: CMOS FEOL Analysis Qualcomm MDM9215M Gobi 4G GSM/CDMA Modem 28 nm LP 2 Some of the information in this report may be covered by patents, mask

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar

SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar SEM Magnification Calibration & Verification: Building Confidence in Your Scale Bar Mark A. Koten, Ph.D. Senior Research Scientist Electron Optics Group McCrone Associates Why check your SEM image calibration?

More information

Short Course Program

Short Course Program Short Course Program TECHNIQUES FOR SEE MODELING AND MITIGATION OREGON CONVENTION CENTER OREGON BALLROOM 201-202 MONDAY, JULY 11 8:00 AM 8:10 AM 9:40 AM 10:10 AM 11:40 AM 1:20 PM 2:50 PM 3:20 PM 4:50 PM

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B. Silicon VLSI Technology Fundamentals, ractice, and Modeling Class otes For Instructors J. D. lummer, M. D. Deal and. B. Griffin These notes are intended to be used for lectures based on the above text.

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Comparison between strip and rib SOI microwaveguides for intra-chip light distribution

Comparison between strip and rib SOI microwaveguides for intra-chip light distribution Optical Materials 27 (2005) 756 762 www.elsevier.com/locate/optmat Comparison between strip and rib SOI microwaveguides for intra-chip light distribution L. Vivien a, *, F. Grillot a, E. Cassan a, D. Pascal

More information

Key Questions. ECE 340 Lecture 39 : Introduction to the BJT-II 4/28/14. Class Outline: Fabrication of BJTs BJT Operation

Key Questions. ECE 340 Lecture 39 : Introduction to the BJT-II 4/28/14. Class Outline: Fabrication of BJTs BJT Operation Things you should know when you leave ECE 340 Lecture 39 : Introduction to the BJT-II Fabrication of BJTs Class Outline: Key Questions What elements make up the base current? What do the carrier distributions

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond Juliet Xiangqun Miao, Lior Huli b, Hao Chen, Xumou Xu, Hyungje Woo, Chris Bencher, Jen

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Laser attacks on integrated circuits: from CMOS to FD-SOI

Laser attacks on integrated circuits: from CMOS to FD-SOI DTIS 2014 9 th International Conference on Design & Technology of Integrated Systems in Nanoscale Era Laser attacks on integrated circuits: from CMOS to FD-SOI J.-M. Dutertre 1, S. De Castro 1, A. Sarafianos

More information

Bosch Sensortec BMP180 Pressure Sensor

Bosch Sensortec BMP180 Pressure Sensor Bosch Sensortec BMP180 MEMS Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com MEMS Process Review Some of the information in this report may be

More information

FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera

FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera FLIR Systems Indigo ISC0601B from Extech i5 Infrared Camera Infrared Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects Hsiao-Wen Zan and Chun-Yen Chang Institute of Electronics, National Chiao Tung University, TAIWAN 1

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement

Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Loss Reduction in Silicon Nanophotonic Waveguide Micro-bends Through Etch Profile Improvement Shankar Kumar Selvaraja, Wim Bogaerts, Dries Van Thourhout Photonic research group, Department of Information

More information

SQUID Test Structures Presented by Makoto Ishikawa

SQUID Test Structures Presented by Makoto Ishikawa SQUID Test Structures Presented by Makoto Ishikawa We need to optimize the microfabrication process for making an SIS tunnel junction because it is such an important structure in a SQUID. Figure 1 is a

More information

Deliverable D5.2 DEMO chip processing option 3

Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing Option 3 Date: 22-03-2017 PiezoMAT 2017-03-22_Delivrable_D5.2 Author(s): E.Saoutieff; M.Allain (CEA) Participant(s):

More information

PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD

PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD 052 PROCESS AND DEVICE SIMULATION OF 80NM CMOS INVERTER USING SENTAURUS SYNOPSYS TCAD Muhammad Suhaimi Sulong, Asyiatul Asyikin Jamry, Siti Maryaton Shuadah Shuib, Rahmat Sanudin, Marlia Morsin, Mohd Zainizan

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Long-distance propagation of short-wavelength spin waves. Liu et al.

Long-distance propagation of short-wavelength spin waves. Liu et al. Long-distance propagation of short-wavelength spin waves Liu et al. Supplementary Note 1. Characterization of the YIG thin film Supplementary fig. 1 shows the characterization of the 20-nm-thick YIG film

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera

Panasonic DMC-GH Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Panasonic DMC-GH1 12.1 Mp, 4.4 µm Pixel Size LiveMOS Image Sensor from Panasonic LUMIX DMC-GH1 Micro Four Thirds Digital Interchangeable Lens Camera Imager Process Review For comments, questions, or more

More information

Altera 5SGXEA7K2F40C2ES Stratix V TSMC 28 nm HP Gate Last HKMG CMOS Process

Altera 5SGXEA7K2F40C2ES Stratix V TSMC 28 nm HP Gate Last HKMG CMOS Process Altera 5SGXEA7K2F40C2ES Stratix V TSMC 28 nm HP Gate Last HKMG CMOS Process Process Review FEOL Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process

Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Toshiba HEK3 0.3 Mp VGA CMOS Image Sensor 0.13 µm Toshiba Process Through Silicon Via Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process

NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process NVE IL715-3E GMR Type Digital Isolator (30457J Die Markings) 0.50 µm CMOS Process Process Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Process Analysis

More information

Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology

Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology Maxime Rousseau, Olivier Rozeau, Gérald Cibrario, Gilles Le Carval, Marie-Anne Jaud, Patrick Leduc, Alexis Farcy,

More information

Texas Instruments S W Digital Micromirror Device

Texas Instruments S W Digital Micromirror Device Texas Instruments S1076-6318W MEMS Process Review with Supplementary TEM Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis February 7, 2006 Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical 286 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 26, NO. 2, JANUARY 15, 2008 Design and Fabrication of Sidewalls-Extended Electrode Configuration for Ridged Lithium Niobate Electrooptical Modulator Yi-Kuei Wu,

More information

Reconfigurable Si-Nanowire Devices

Reconfigurable Si-Nanowire Devices Reconfigurable Si-Nanowire Devices André Heinzig, Walter M. Weber, Dominik Martin, Jens Trommer, Markus König and Thomas Mikolajick andre.heinzig@namlab.com log I d Present CMOS technology ~ 88 % of IC

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates

Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Introduction of IMS Technology for Advanced Solder Bumping on Wafers / Laminates Science & Technology IBM Research Tokyo Yasumitsu Orii, PhD Senju Metal Industry Co.,TW Deputy General Manager Lewis Huang

More information

Intel D920 (Presler 65 nm node) 2.8 GHz Dual Core Microprocessor

Intel D920 (Presler 65 nm node) 2.8 GHz Dual Core Microprocessor June 7, 2006 Intel D920 (Presler 65 nm node) Transistor Characterization Report For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

(Invited) Wavy Channel TFT Architecture for High Performance Oxide Based Displays

(Invited) Wavy Channel TFT Architecture for High Performance Oxide Based Displays (Invited) Wavy Channel TFT Architecture for High Performance Oxide Based Displays Item Type Conference Paper Authors Hanna, Amir; Hussain, Aftab M.; Hussain, Aftab M.; Ghoneim, Mohamed T.; Rojas, Jhonathan

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs

Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs S.-H. Renn, C. Raynaud, F. Balestra To cite this version: S.-H. Renn, C. Raynaud, F. Balestra. Floating Body and Hot Carrier Effects

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

MEMS-based Micro Coriolis mass flow sensor

MEMS-based Micro Coriolis mass flow sensor MEMS-based Micro Coriolis mass flow sensor J. Haneveld 1, D.M. Brouwer 2,3, A. Mehendale 2,3, R. Zwikker 3, T.S.J. Lammerink 1, M.J. de Boer 1, and R.J. Wiegerink 1. 1 MESA+ Institute for Nanotechnology,

More information

Intel T2300 (Yonah 65 nm node) 1.66 GHz Dual Core Laptop Microprocessor Transistor Characterization Report

Intel T2300 (Yonah 65 nm node) 1.66 GHz Dual Core Laptop Microprocessor Transistor Characterization Report June 19, 2006 Intel T2300 (Yonah 65 nm node) 1.66 GHz Dual Core Laptop Microprocessor Transistor Characterization Report For comments, questions, or more information about this report, or for any additional

More information

Let me also remind you the two on-going challenges until 30 th June for the ASPICS and for Custom products. Take your chance!

Let me also remind you the two on-going challenges until 30 th June for the ASPICS and for Custom products. Take your chance! Dear Partners, The first term of this year has been marked by a sharp intensification in direct communication through worldwide exhibitions. IPDiA products and technologies have been displayed in more

More information

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Structural Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis

More information

isagers. Three aicron gate spacing was

isagers. Three aicron gate spacing was LIJEAR POLY GATE CHARGE COUPLED DEVICE IMAGING ARRAYS Lucien Randazzese Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A five cask level process was used to fabricate

More information

Application of EOlite Flexible Pulse Technology. Matt Rekow Yun Zhou Nicolas Falletto

Application of EOlite Flexible Pulse Technology. Matt Rekow Yun Zhou Nicolas Falletto Application of EOlite Flexible Pulse Technology Matt Rekow Yun Zhou Nicolas Falletto 1 Topics Company Background What is a Flexible Pulse Laser? Why Tailored or Flexible Pulse? Application of Flexible

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Manufacturer Part Number. Module 4: CMOS SRAM Analysis

Manufacturer Part Number. Module 4: CMOS SRAM Analysis Manufacturer Part Number description Module 4: CMOS SRAM Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018.

This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, June 2018. http://www.diva-portal.org Postprint This is the accepted version of a paper presented at 2018 IEEE/MTT-S International Microwave Symposium - IMS, Philadelphia, PA, 10-15 June 2018. Citation for the original

More information

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM

Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM Elpida Memory Inc. B240ABB (die markings), MC77-LL/A (package markings) 46 nm Mobile / Low Power DDR2 SDRAM DRAM Process Report - Preliminary Table of Contents 3 Table of Contents Introduction Major Findings

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE.

Copyright 2008 Year IEEE. Reprinted from IEEE ECTC May 2008, Florida USA.. This material is posted here with permission of the IEEE. Copyright 2008 Year IEEE. Reprinted from IEEE ECTC 2008. 27-30 May 2008, Florida USA.. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE

More information