ISMI 450 mm Industry Briefing

Size: px
Start display at page:

Download "ISMI 450 mm Industry Briefing"

Transcription

1 Accelerating Manufacturing Productivity ISMI 450 mm Industry Briefing July 15th, 2009 SEMICON West Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 Accelerating Manufacturing Productivity Opening Remarks Scott Kramer Vice President of Manufacturing Technology Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

3 450 mm Rationale Wafer size transitions produce cost savings historical data supports Benefits entire industry through continued growth Needed to accelerate productivity gains realizing that free and open markets determine timing Technical problems will be solved by continuing innovation across the industry engineers will continue to innovate 15 July

4 450 mm Timing ISMI programs and schedules are based on member company direction and requirements ISMI consults regularly with its member companies to validate schedules and priorities Intel, Samsung, and tsmc have not indicated a change in their timeline for targeting 450 mm pilot line capabilities beginning in 2012 ISMI key 450 mm milestones have not changed Introduction and timing of any productivity improvements are determined by markets Decisions by informed buyers and sellers 15 July

5 A Reminder ISMI is open to all ideas continuous review and adjustment of plans There are multiple solutions possible Best results come from proactive, 2-way communications 15 July

6 Accelerating Manufacturing Productivity Briefing Agenda and 2009 Program Overview Tom Jefferson 450 mm Program Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

7 July 2009 Briefing Agenda mm Program Overview 450 mm Process and Metrology Readiness Test Wafer Generation Equipment Performance Metrics (EPMs) 450 mm Silicon Readiness 450 mm Factory Integration Readiness 450 mm ESH Guidelines Update Key Messages Question and Discussion Session 15 July

8 Coordinating Industry Convergence Towards 450 mm 2007 Early design Equipment readiness Equipment demonstrations Requirements guidelines Interoperability Test Bed (ITB) Early prototypes Mechanical wafer bank Equipment prototypes Test plans Metrology & process equipment development Test wafer generation 2009 Single crystal wafer bank Equipment Performance Metrics (EPMs) wafer FOUP Carrier & loadport interoperability Data-driven standards 15 July

9 450 mm Progress 2008->2009 Wafer Inventory Jul-2008 Sintered wafers available for development Jul-2009 Single crystal wafers available for development Process and Metrology Equipment Factory Integration Standards Transition Node None 1 EFEM and 1 carrier in ISMI s ITB Task Forces Formed Unknown Particle inspection, edge inspection, film thickness, and particle removal equipment are ordered, will arrive at ISMI and process 450 mm wafers in H2 09 Additional equipment capabilities are under development now >5M handling robot cycles and >530K loadport open/close cycles completed with prototype carriers. 4 EFEMs, 6 loadports, multiple carriers, and 2 PGVs under test Vacuum platform mainframes are available from multiple suppliers Mech. wfr standard passed Carrier and Loadport stds near completion with critical features determined (wafer pitch, door opening options) Defined (32 nm demonstration tools, 22nm equipment for device maker pilot lines) Solid Progress But Many Challenges Remain 15 July

10 ISMI Supplier Acknowledgement ISMI 450 mm Program would like to acknowledge the following companies for their support and participation in the 450 mm Program: Angstrom Sun Brooks Automation CyberOptics Corp Genmark Automation H-Square IDC Nikko SUMCO TDK Asyst Technologies CDE Entegris, Inc. Gudeng Precision Industrial Hirata Corp Nanophotonics SSEC Sinfonia 15 July

11 ISMI 450 mm Program Mission and Objectives Mission Enable a cost-effective 450 mm transition through coordination and development of infrastructure, guidance, and industry readiness 2009 Objectives Enable the supply of 450 mm single crystal silicon to continue 450 mm equipment development Develop test wafer generation capabilities, equipment performance metrics, and demonstration test methods to enable equipment demonstrations in 2010 Cleanroom evaluation of standards-compliant 450 mm carriers, loadports, and EFEMS Creation of 450 mm ESH guidelines with an emphasis on green manufacturing Industry coordination and communication to enable the 450 mm transition 15 July

12 450 mm Technology Node Intercept Strategy The IC makers committed to the 450 mm transition have significant differences in products, business models, and technologies But they have agreed on nominal performance targets, common timeline, and strategy for test wafer generation, equipment demonstrations, and first-generation production equipment 450 mm test wafer generation capabilities in 2009, with 300 mmequivalent capability Equipment demonstrations for process and metrology equipment in 2010 to 2012, with 32 nm [1] capability Production-ready equipment capable of supporting IC maker pilot lines in with technology scalable to 22 nm [1] and beyond This alignment can help minimize development costs [1]: Reference is DRAM stagger-contacted Metal 1 half-pitch in nanometers per ITRS definition 15 July

13 ISMI Equipment Development Strategy Equipment Performance Metrics (EPMs) Guide Supplier Demo Tool Development Targets Targets useful for alpha tool and demo tool design Alpha tool development Initial prototype Beta/demo tool development Next generation tool Demo tool ready Capable of meaningful demonstration Bare wafer supply Test wafers (TWs) for alpha tool development Basic test wafers from minimal toolset TW for beta/demo tool development Increased sophistication with better tools and more tool types TWs for equipment demos Wafers for process testing Bare wafers for alpha tool development Bare wafers for beta/demo tool development Demo execution Basic quality wafers Significantly improved quality Process and reliability data 15 July

14 Accelerating Manufacturing Productivity Test Wafer Generation Tom Abell Test Wafer Generation Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

15 Test Wafer Problem Statement Process and metrology equipment suppliers need test wafers (TWs) to create their processes for inhouse prototype tool development Test wafers must be of sufficient quality and quantity for each stage of equipment development Lower quality requirements for early prototypes and testing Increasing sophistication and particle quality for process and hardware refinement 15 July

16 Test Wafer Generation Strategy Motivation Feedback from the 300 mm conversion was that I300I coordination of test wafer generation was very important Helped to significantly reduce development costs ISMI Approach Coordinate wafer processing capability in phases to meet demands of sophistication Lend processed wafers to suppliers for 450 mm process and metrology equipment development 15 July

17 Test Wafer Generation Stages Early test wafers to get critical early tool capability developed Key item to get very basic test wafers into suppliers hands to begin development of other TW tools Tools and processes would not be expected to be of demonstration maturity Virtual processing at suppliers site OK for most processes Test wafers to enable suppliers to develop demonstration tools Sophisticated development-grade test wafers are required 193 nm litho & patterning capability with many other tool types required for demo tool development Sufficient quantities of wafers will be needed for adequate testing and refinement Virtual processing at suppliers site OK for some processes (i.e., not litho/track) Test wafers to execute demonstrations at 32 nm technology node or beyond Suppliers need very sophisticated test wafers to refine their processes to 32 nm or beyond Sufficient quantity of wafers is required to execute process testing and reliability marathons with process stability testing 15 July

18 ISMI Equipment Development Strategy - Test wafer relationship to overall program EPMs Guide Supplier Demo Tool Development Targets Targets useful from alpha tool to demo tool design Alpha tool development Initial prototype Early alpha tool enabling TWs Early TW processing Beta/demo tool development Next generation tool Beta/demo tool enabling TWs More sophisticated TW processing Demo tool ready Capable of meaningful demonstration Bare wafer supply TWs for alpha equipment development Basic test wafers from minimal toolset TWs for beta/demo equipment development Increased sophistication with better tools and more tool types Demo TW generation Sufficient quality TW for process testing Bare wafers for alpha equipment development Bare wafers for beta/demo equipment development Demo execution Basic quality wafers Significantly improved quality Process and reliability data 15 July

19 Early 450 mm Test Wafer Generation - Top Priority Capabilities Particle Detection Metrology Film Thickness Metrology Vertical Diffusion Furnace Wet Cleans PVD Metal PECVD Dielectric Track Lithography Dielectric Dry Etch Dry Strip Asher CD Measurement Dielectric CMP Early particle data from ISMI s NanoPhotonics tool on a 450 mm single crystal wafer All equipment must be safe to operate and maintain for supplier and ISMI = capabilities selected by ISMI, to date 15 July

20 ISMI 450 mm Cleanroom Space ISMI CLEANROOM SSEC Wet Clean Brooks LP NanoPhotonics Defect and Edge Inspection Brooks EFEM FOUP storage platforms Transfer tables Layout for first cleanroom hardware, storage, and support tables Space available for more test wafers, silicon, and ITB hardware 15 July

21 Relaxed Expectations for Early TW Generation Tools Some early TW tools can utilize manual loading but others cannot (i.e., defect inspection, wet cleans) Automated loading and unloading of FOUPs is desirable 450 mm loadports and equipment front end modules are desired with the understanding that standards have not yet been set (e.g., prototypes will be used) Process capability is desired to match current 300 mm capability Certain tools are known to have significant process challenges with scaling Lower capabilities may be acceptable during the early phases of test wafer generation Defect densities are a lower concern for the early TW tools Initial measurement capability at ISMI will be 90 nm diameter moving to 65 nm Defects on single crystal wafers are expected to be minimized and improved through upgrades or tool revision Reliability and throughput are lesser concerns if they are sufficient to support TW needs No availability or run rate metrics are specified at this stage Both are expected to improve over time with upgrades or tool revision 15 July

22 Early TW Equipment Supplier Readiness Phase 2 Blanket wafer capabilities Phase 3 Patterned wafer capabilities Jul 09 Status Phase 1: Silicon 1 st TWs moved 1 st TWs processed ISMI wfs loaned Tool build started Selection made Proposal/Quote Positive on 450 P a rticle Metro VDF External C leans In -h o u s e Clean D ie l M e tro M e ta l M e tro Metal Dep PECVD Diel Track 193nm Litho Etch Ash CD Meas CMP Supplier C Supplier D CDE SSEC Angstrom Sun Nanophotonics Supplier A Supplier B 6 of 8 capabilities needed in Phase 2 have been acquired 15 July

23 Summary ISMI has met with over 60 suppliers to discuss 450 mm plans and involvement with ISMI s program 6 of 8 initial capabilities have been selected for Phase 2 test wafer generation 3 ship in Q3 09, 2 at supplier labs, 1 recently selected Phase 2 test wafer generation is in motion Phase 3 capabilities are in active discussion 6 of 6 tool types have suppliers interest 2 quotes received 15 July

24 Next Steps If you are interested in Discussing the overall test wafer generation project Discussing test wafer types and metrology needs Receiving processed test wafers for your development program Participating in the generation of test wafers for ISMI Please direct inquiries to Tom Abell 450 mm Senior Advisor and Test Wafer Generation Project Manager 15 July

25 Accelerating Manufacturing Productivity ISMI 450 mm Equipment Performance Metrics and Demonstration Readiness Kuo-fu Chien Demonstration Readiness Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

26 Introduction IC makers wish to work with suppliers of wafer fab equipment to achieve capability for pilot lines in 2012 and prepare to manufacture their products on 450 mm wafers. They have determined that their technology targets for the period coincide well with ITRS definitions for contacted metal half-pitch at the 32 nm and 22 nm generations. The development and demonstration phase, roughly from 2010 to 2012, will focus on 32 nm capability from early testing to first production-worthy process and metrology equipment. Equipment maturation thereafter must achieve high volume manufacturing (HVM) cost/performance to support production ramp while technology scales to 22 nm and beyond. 15 July

27 Purpose and Objective Equipment Performance Metrics (EPMs) provide customer targets for critical process and manufacturing performance metrics to suppliers as they begin development of 450 mm equipment. We look forward to the beginning of manufacturing on 450 mm wafers for the entire fab tool set. 15 July

28 Sources of Metrics Most process parameters come from ITRS technology requirements Bare wafer defect requirements are derived from a new ISMI model that will be incorporated into the next revision of the ITRS Yield Enhancement section Manufacturing metrics are a mix of ITRS Factory Integration requirements and expectations set for 300 mm e.g., Availability from ITRS reliability metrics from I300I 450 mm should achieve same cycle time as 300 mm Some come from customers; e.g., ESH imperatives, consumable reduction, use of PGV All have been previewed and improved by member companies technical experts Supplier inputs were incorporated before EPM publications through a series of 3 workshops 15 July

29 Process for Metrics Creation 1) ISMI Provide Tool List 6) Validate Cost and Factory Performance with Metrics Set 2) Member Companies Provide Tool Priorities 5) Consult with Suppliers 3) ISMI Provide Strawman Metrics and Methods 4) Member Companies Provide Feedback 7) Publish Equipment Performance Metrics and Test Methods 15 July

30 General Requirements in the EPM The overarching requirement for 450 mm manufacturing is that it maintain the advantageous cost structure that has enabled continuing growth for industry A boundary condition is that 450 mm equipment be more productive than its 300 mm predecessor 450 mm manufacturing capability must comprehend re-use of existing 300 mm facilities Consumables should be held to the same level for 450 mm as for 300 mm on a per-wafer basis. ESH requirements are, broadly, to maintain or reduce the amounts of effluents and the use rates for energy, chemicals, and water for 450 mm tools relative to 300 mm 2008 baseline on a per-wafer basis It is an absolute requirement that all equipment must be safe to operate and maintain at any stage of maturity Equipment spare parts and modules must either be small and light enough to handle safely during maintenance and clearances adequate or ergonomic handling aids must be provided 15 July

31 How to View the EPM Requirements Each metric is listed by attribute with units and targets for 32 nm and 22 nm. Suppliers should target 32 nm capabilities for the demonstration period and plan for extension to 22 nm capability for device maker pilot lines targeted for Manufacturing metrics are expectations for HVM. It is recognized that, initially, tools will not be as capable of achieving either process or productivity goals as the metrics presented in this document and may not comply with all guidelines and standards. 15 July

32 EPMs are completed for mm Process and Metrology Equipment, Organized into 11 Tool Groups Example:5.1 Dry Etch Dielectric, Poly - Active Area/STI Equipment Parameters Process Targets Process Characteristics Defects, 1.5 mm edge exclusion Manufacturing Targets (@ High Volume Manufacturing Phase) Attribute Auto End-point Detection in-situ Bevel Clean Capability In-situ Chamber Clean Capability STI Depth Bulk STI Width at top STI Sidewall angle Total Variability 3 σ all sources Selectivity to Loading Effect Charge Damage Residue after etch On bare Si > 30 nm Backside on Si Availability MTBF MTTR MTB/T Clean Units - - nm nm Deg nm - % - - #/cm 2 #/cm 2 % hour hour Metrics (32 nm) Required Option Required >88.2 < 1.5 > TBD, each company input selectivity requirements w.r.t materials chosen < 5 To be measured None < < > 75 nm 95 > 500 < 4 To be measured Metrics (22 nm) Required Option Required >88.7 < 1 > TBD, each company input selectivity requirements w.r.t materials chosen < 5 To be measured None < < > 50 nm 95 > 500 < 2 To be measured Notes Need better solution to eliminate bevel polymer contamination Criteria of ITRS Criteria of ITRS Criteria of ITRS Etch rate difference l/s to iso Need to find better solution for e-chuck to eliminate need for post-etch backside clean Will depend on process chemistry 15 July

33 Equipment Performance Metrics by Tool Type Tool No. Tool Type Tool Description Process Example Lithography 1.1 Exposure 193 nm Critical levels 1.2 Exposure 193 nm Immersion Critical levels 1.3 Exposure 248 nm Non-critical levels 1.4 Track Coat/develop All levels CMP 2.1 CMP Dielectric Contact - Planarize PSG 2.2 CMP Metal (1) Contact Tungsten Plug Polish 2.3 CMP (2) Damascene - Copper Polish CVD 3.1 CVD PECVD (HPCVD or HARP) (1) Active Area - STI Fill - Undoped Ox 3.2 CVD (2) SiN, SiCN Barrier/Etch Stop/Cap 3.3 CVD (3) Low k Dielectric 3.4 CVD (4) Contact - Nitride Etch Stop & Liner 3.5 CVD Metal CVD (1) Contact Tungsten Plug 3.6 CVD (2) Contact Ti/TiN Barrier for W Plug 3.7 CVD (3) TaN/Ta Barrier for Cu Metal layers 3.8 CVD (4) TiN Metal Hard Mask for Damascene Etch 3.9 CVD ALD (1) Dielectric 3.10 CVD (2) High k Dielectric 3.11 CVD (3) Tungsten 3.12 CVD Epitaxy SiGe 15 July

34 Equipment Performance Metrics by Tool Type Tool No. Tool Type PVD 4.1 PVD 4.2 PVD 4.3 PVD 4.4 PVD 4.5 PVD 4.6 PVD Dry Etch 5.1 Dry Etch 5.2 Dry Etch 5.3 Dry Etch 5.4 Dry Etch 5.5 Dry Etch 5.6 Dry Etch 5.7 Dry Etch 5.8 Dry Etch Dry Strip 6.1 Dry Strip 6.2 Dry Strip 6.3 Dry Strip Electrochemical Plating 7.1 Electrochemical Plating Doping 8.1 Ion Implantation 8.2 Ion Implantation 8.3 Implant/Plasma Immersion Tool Description PVD-Metal Reactive Sputter Dielectric; Poly Dielectric Poly Metal Bevel Edge Clean Etch Asher Copper High Energy Medium Current Low Energy/High Current Process Example (1) Gate Metal (2) Silicide Metal (3) Cu Seed (1) Contact Ti/TiN Barrier for W Plug (2) TaN/Ta Barrier for Cu Metal Layers (3) TiN Metal Hard Mask for Damascene Etch Active Area - STI Trench (1) Gate - Nitride Spacer (2) Contact (3) Via/Damascene Trench Gate - Polysilicon/ARC (1) Gate - Metal Electrode (2) Metal Hard Mask for Damascene (1) Active Area (2) Source/Drain Implant (3) Gate Electrode, Silicide, Metal Hard Mask Damascene Fill Deep Wells Gate Extensions Source/Drain 15 July

35 Equipment Performance Metrics by Tool Type Tool No. Tool Type Thermal Process 9.1 Thermal Process 9.2 Thermal Process 9.3 Thermal Process 9.4 Thermal Process 9.5 Thermal Process 9.6 Thermal Process 9.7 Thermal Process 9.8 Thermal Process 9.9 Thermal Process 9.10 Thermal Process Wet Process (Single Wafer or Batch Process?) 10.1 Wet Etch 10.2 Wet Clean 10.3 Wet Clean 10.4 Wet Clean 10.5 Wet Clean Metrology 11.1 Bare Wafer Particle 11.2 Film Thickness 11.3 CD 11.4 Overlay 11.5 Patterned Defect Tool Description Oxidation Vertical Furnace Anneal Vertical Furnace Nitride LPCVD Poly LPCVD Rapid Thermal Anneal Millisecond Anneal Wet Nitride Wet Clean Solvent Process Example (1) Active area- Field Oxide (2) Rounding oxidation (3) N-well Sacrificial Oxide (1) Densification (2) Low Temp Anneal Gate Spacer Gate Electrode (1) Silicide (2) Source/Drain Anneal Source/Drain Anneal Active-area- Oxy-Nitride Strip (1) Particle Removal (2) Post-Ash (3) Backside Clean Solvent Clean 15 July

36 Global Equipment Requirements Background As part of the Equipment Performance Metrics, equipment suppliers requested guidance on generic requirements and scalars. Samsung, tsmc, and Intel jointly developed and have a consensus on the requirements. The global requirements apply to all process and metrology equipment. Requirements are split into two categories: Carryover requirements from 300 mm these are key 300 mm requirements that are critical to 450 mm 450 mm scalars and requirements these are specific to 450 mm 15 July

37 300 mm Carryover Requirements 15 July

38 450 mm Scalars and Requirements 450 mm Scalars & Requirements 450 mm Requirement Spec Source 10 Relative equipment footprint scalar 1.0x n/a 11 Equip Relative tool installation duration scalar 1.0x n/a 12 Relative tool qualification duration scalar 1.0x n/a 13 EHS ISMI ESH guidelines: Risk characterization of MMH activities Supplier provided fall protection Mass balance characterization 100% Spec Compliance TT# A-ENG ISMI Consensus 14 Utilities Utilities Consumption and waste emissions/effluent per wspw (e.g., water, process matls, electrical, scrubbed exhaust, PFCs, bulk gases, etc) 1.0x n/a Note: Relative scalar = (450 mm capability/300 mm capability) normalized to tool run rate. For example: Relative factory footprint scalar = 450mm tool footprint 450mm wafers per hour 300mm tool footprint 300mm wafers per hour 1.0x 15 July

39 Highlights of EPM Workshops Broad supplier participation (total 83 representatives from 29 suppliers) with IC makers and ISMI Good mix of management, marketing, and technical participation Accomplished the key objective to launch constructive technical consultation between IC makers and suppliers, and generated information for inclusion in EPMs Suppliers and IC makers engaged constructively Many good questions Suggestions for additional metrics Several suppliers expressed appreciation for good technical discussion 15 July

40 Equipment Demo Readiness Planning 1H Equipment Performance Metrics COMPLETED 2H Demonstration Test Methods Workshop Tuesday October 20, 2009, ISMI Symposium, Austin, TX Objective Provide an overview of the test methodologies that the ISMI 450 mm Program will use during evaluation of 450 mm process and metrology equipment. Target Workshop Participants Product development managers, engineering managers, and others responsible for the development and qualification of 450 mm process and metrology equipment Demonstration of capabilities in timeframe (32 nm specifications) and technology scaling to 22 nm specifications for device maker production launch in July

41 Equipment Demo Readiness Planning Demonstration Test Method (DTM) Used in 300 mm to be adapted to 450 mm demos. Scaling of metrics will be based upon equipment maturity. Individual supplier discussions will be necessary. Demo test results will be available to suppliers before publication. Same test methods will be applied to all demonstrations. Demo Location Time and location to be determined by the most feasible equipment demo location. A centralized facility offers some logistical advantages. Demo Performance Suppliers will be allowed to analyze and comment on the data and results. ISMI staff will prepare a report of test results be available to suppliers before publication. Suppliers will have a section in the final report to comment on the demonstration and its results. Demo Cooperation ISMI can also coordinate activities between suppliers to facilitate development in some cases. 15 July

42 450 mm Tool Demo Process Flow ITRS, ISMI member survey Tool list & process requirements Tool attributes & Performance metrics Project initiation & demo test method Form project core team & performance requirement Workshop supplier survey Demo tools select Demo agreements with metrics and method Resource allocation Review & consult with supplier Demo plan Contract/SOW negotiation Tool demo Assumptions : 1. Test wafer available in Beta tools for 32 nm process demonstration Tool evaluation result good? Tool evaluation report Completed In Progress Next Steps 15 July

43 Conclusion We wish to thank all who have participated in this initial compilation of requirements for the 450 mm fab tool set; the inputs of both IC makers and equipment suppliers are much appreciated. We recognize that as we move into development, our collective understanding of the performance will result in further revisions of the EPM going forward. 15 July

44 Accelerating Manufacturing Productivity Silicon Readiness Mike Goldstein/Paul Cherry Demonstration Readiness Project Managers Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

45 Overview Silicon industry update ISMI activities SEMI spec status Summary 15 July

46 Silicon Industry Update All major silicon suppliers have produced engineering samples and are ready to support the program. We see continuous improvement in the wafer quality as suppliers and equipment manufacturers advance on the learning curve and shipping methods are improved. Inspection equipment is coming on line. We are engaged with suppliers to close gaps in silicon manufacturing and inspection line. 15 July

47 Wafer Quality Improvement The wafer quality is improving as suppliers and equipment manufacturers advance on the learning curve. e.g., Wafer grinding pattern as revealed by NanoPhotonics haze maps. First polished batch Second polished batch 15 July

48 Planetary Pad Grinding Planetary pad grinding has been successfully demonstrated on 450 mm single crystal silicon wafers at the Peter Wolters Wafer Technology Center Peter Wolters AC 2000-P³ processing 450 mm single crystal wafers PPG ground 450 mm single crystal Si-wafer 15 July

49 450 mm Wafer CMP Simulations There have been some concerns about slurry flow and temperature distributions in scaling the CMP process from 300 mm to 450 mm wafers. Simulation work done by ARAKA Corp. shows that these concerns are unfounded. The slurry thickness, the heating pattern, and the temperature distribution look remarkably similar for these two wafer sizes Steady State Pad Temperature Slurry Film Mean Thickness Comparison Temperature Distribution (60 sec) 15 July

50 ISMI activities Wafer bank and loan program Wafer sag validation Wafer inspection Particle measurement tool Edge inspection tool Wafer shippers FOSB SWS 15 July

51 Wafer Bank Status 450mm Wafer Bank Loans Number of Wafers 0 Mar-08 Apr-08 May-08 Jun-08 Jul-08 Aug-08 Sep-08 Oct-08 Nov-08 Dec-08 Jan-09 Feb-09 Mar-09 Apr-09 May-09 Jun-09 Jul-09 # of Wafers Loans ~300 wafers, more than half single crystal, are currently available for loans 15 July

52 ISMI Particle/Edge Inspection Module Particle/edge inspection module installation at ISMI will be completed in Q3. Brooks EFEM Particle Inspection Tool Courtesy of NanoPhotonics Edge Inspection Tool 15 July

53 450 mm Clean Shipping Methods 450 mm FOSB Wafer Sag in FOSB L Support 12mm Perimeter Edge Support mm of Sag SLOT R Support Center Point L support Support Point Right support Validation of 450 mm front opening shipping boxes started 15 July

54 Wafer Gravitational Sag Validation Wafer gravitational sag of single crystal wafers measured in collaboration with SUMCO has been presented at ISM s briefing at SEMICON Japan It was determined that under the same conditions, single crystal silicon wafers sag μm less than sintered wafers. Similar gravitational sag values have been measured on single crystal wafers from other suppliers. Wafer Gravitational Sag (mm) A1 A2 B C 450 mm, 925 µm thick silicon wafers gravitational sag in different carriers types 15 July

55 SEMI Specs M74: Specification for 450 mm Diameter Mechanical Handling Polished Wafers was published in Nov. 08 SEMI draft document 4624: Specification for Developmental 450 mm Diameter Polished Single Crystal Silicon Wafers is ready for yellow ballot Please read it and vote when the ballot is distributed. It is important to get input from the entire spectrum of the industry. 15 July

56 SEMI Draft 4624 This specification contains three categories of developmental type wafers to assist equipment manufacturers and others in specifying the best wafers to use in developing their equipment and processes: Particle monitors Lithography monitors Other monitors Two additional aspects of the spec proposal should be highlighted: Notch. The default option has a notch identical to the one in 300 mm wafer specification (M1); however, for interested customers, there is an option of a notch-free wafer where the notch is replaced by a fiducial inscribed on the back of the wafer Wafer edge. The default option is a parameter-based design; however, there is also an option to chose a slightly different, template-based design Standard wafer with notch Notch-free wafer 15 July

57 Summary We have seen the benefits of the wafer bank and the suppliers interest in the wafer loan program. We are continuously increasing the number of wafers in circulation and improving the shipping methodology. We work with the suppliers to improve the wafer quality with a focus on metrology, cleaning, and CMP. We are expanding our interface with materials suppliers beyond silicon (e.g., quartzware, sputtering targets) in support of ISMI process test wafer generation. 15 July

58 Accelerating Manufacturing Productivity Factory Integration and Interoperability Test Bed (ITB) Sung-Wook Park/Eddy Bass ITB Project Managers Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

59 Outline 2009 ITB Objectives ISMI 450 mm Guidelines Updates 450 mm ITB Review Summary 15 July

60 2009 ITB Purposes Engage with FI suppliers to facilitate development of and assess critical early components i.e., carriers, loadports, and wafer handling capability Accelerate SEMI standards development by providing the critical and timely data for decision making Assess interoperability between standardized components while providing performance benchmarks to member companies 15 July

61 FI Guidelines Summary of Work July 2008 July 2009 The ISMI 450 mm Guidelines and Standards team has provided additional clarifying detail over the last year in the following areas: PGV Guidance FOSB Guidance Exclusion Space Guidance for Loadport Guarding 15 July

62 450 mm Person Guided Vehicle (PGV) Guidance support carrier handling by using the top handling flange or bottom conveyor rails carrier drop protection during transport minimize PGV size and weight protection of person and product during transfer operations- SAFE OPERATION Notes: Drawing not to scale Graphic is for example only and is not intended to imply a preference for a specific design single 450 mm 25 wafer carrier cleanliness, electrostatic control, and vibration control transporting and docking to/from a standards-compliant loadport ISMI has developed PGV guidelines to enable safe handling of 450 mm carriers during early development before the availability of AMHS PGVs have been evaluated in ITB and early versions are available to the industry today 15 July

63 450 mm FOSB Architecture Guidance w interface with 450 mm AMHS equipment through robotic handling flange h automated-shippable door, interoperable with SEMI std loadport (including KC pins, Info/sensing pads, and door opening) same as option defined in M31 physically fit within the dimensional envelope of the 450 mm SEMI standard FOUP d 12mm pitch, 25 wafers 450 mm wafer shipping boxes will be scaled up versions of the 300 mm SEMI M31 FOSB. This means that 450 mm FOSBs will have the same handling features, capacity, shock, and vibration performance capabilities of the 300 mm FOSB, but scaled to safely ship standard 450 mm wafers. 15 July

64 Exclusion Volume for Potential Guarding Guidance exclusion volume for guarding both sides of tool loadports ISMI 450 mm interested member companies will participate in standards discussions and help the 450 mm IPIC task force define the exclusion volume Loadports Front View exclusion volume on front side thickness/ depth NOT for Stds -HPx Side exclusion volume may start at Loadport Boundary 30mm Per Std FLOOR Side exclusion volume Loadports Side View NOTES Data from 3rd party assessment of potential injury risk shows that 450 mm FOUP load onto tool loadports is not significantly different than 300 mm under the same operating conditions Loadport guarding solutions are heavily influenced by individual device makers operational models and 300 mm experiences Provider of guarding is a discussion between IC maker and supplier Standards should enable the application of guarding for 450 mm loadports while minimizing impact to loadport footprint EB OEM to provide connection points along tool height To be discussed in standards development 15 July

65 ITB Status and Accomplishments Time Frame Total Equipment # of Suppliers Tests completed 2008 SEMICON West 2 sets of wafer carriers 3 early shippers 4 Prototype carrier metrics tests EFEMS/loadport metrics and interoperability tests 1 EFEM 1 Loadport 2009 SEMICON West 11 FOUPS 3 FOSB 4 loadports 4 EFEMs 2 PGVs 11 FOUPs compliance to standards (pitch budget impacts/feedback), metrics, and interoperability tests FOSBs interoperability testing with EFEMs/loadports Loadports compliance to standards (pitch budget impacts/feedback), metrics, and interoperability tests (>530k cycles) EFEMS pitch budget impacts metrics and interoperability (>5.0 M robotic moves completed at 10 mm pitch) PGVs Guideline compliance, metrics, and interoperability testing mm pitch decision in SEMI 450 mm IPIC supported by ITB FOUP and robotic handling testing 2. Proof of validity of 300 mm methodology scale-up has eliminated multiple options from 450 mm standards ballots 15 July

66 450 mm Vacuum Platform Development Targeted to enable cost-effective path for prototype 450 mm equipment development with equipment suppliers involved Engaged with and received proposals from 5 vacuum platform suppliers to identify the availability of 450 mm vacuum platform development ISMI will continue to refine requirements and will host a workshop for interested platform and chamber suppliers to gather inputs in H Equipment front end developed shifting focus to platforms and chambers 15 July

67 450 mm EFEMs Tested at ISMI 450 mm EFEM with magnetic door loadport ~ 1.0 M moves First ITB equipment installed in May mm robotic test stand two dummy loadport position and wafer aligner ~ 2.6 M moves with internal vacuum grip endeffector 450 mm EFEM with slide-latch door loadport 450 mm EFEM with scaled-up latch-key loadport ~ 420k moves with internal vac grip endeffector ~ 1.0 M moves with Internal and external passive grip endeffectors 15 July

68 450 mm Robots Cycling Status mm Wafer Handling Robotic Moves by End-Effector Type 07/02/2009 Wafer Handling Robotic Moves (k moves) Supplier A Supplier B Supplier C Supplier D Lower EE Robotic Supplier New Ceramic Internal EE Vacuum Grip InternalEE Passive Grip Internal EE Passive Grip External EE Metrics Target 100k MCBI at 80% Confidence, with no errors Supplier D Upper EE ROBOTIC HANDLING OF 450 mm WAFERS IS SUCCESSFUL! Wafer handling robotic systems have reached 100k MCBI targets > 5.0 M moves completed to date with 450 mm wafers 15 July

69 450 mm Loadport Testing at ISMI Pre-Blue Ballot 10 mm Pitch Magnetic Door Loadport First ITB loadport installed in July 2008 Pre-Blue Ballot 10 mm Pitch Latch-key Door Loadport Under continuous cycling with pre- Blue Ballot latchkey FOUPs w/noerrors Pre-Yellow Ballot 10 mm Pitch Slidelatch Door Loadport Under continuous cycling with Pre- Yellow Ballot slidelatch FOUP w/noerrors Yellow Ballot 10 mm Pitch Latchkey Door Standalone Loadport Under continuous cycling with interoperable YB FOUPs w/noerrors 15 July

70 450 mm Loadport Testing Status Loadport Cycles (k cycles) mm Equipment Loadport Cycles 07/02/2009 Metrics Target 100k MCBI at 80% Confidence, with no errors 75 Magnetic Door 201 Rev 0 Latch- Key Door 104 Slide-Latch Door Loadport Type 10mm Pre-Yellow Ballot FOUP Supplier H 10mm Yellow Ballot FOUP Supplier G 10mm Yellow Ballot FOUP mm Pitch Yellow Ballot Latch-Key Door LP Currently, cycling with no errors. Expect to meet reliability target mid-july LOADPORTS FOR 450 mm CARRIERS ARE SUCCESSFUL!!! > 534k loadport cycles (i.e., open and close) completed to date with prototype carriers 15 July

71 Prototype 450 mm FOUPs Three Rev 2 (Blue Ballot-compliant) carriers and two Rev 2 (Yellow Ballot-compliant latch-key) carriers tested in H mm pitch FOUPs (Rev 3) received testing beginning shortly Rev 1 Carriers Rev 2 Carriers LK Perimeter 10mm Magnetic Perimeter 10mm LK Perimeter 10mm 15 July

72 2 PGV Prototypes Evaluated Supplier J Rev 0 PGV Supplier H Rev 1 PGV Pre-cleanroom PGV evaluation phase complete. PGVs demonstrated ready for industry usage. 15 July

73 Summary ~ 5.0M robotic moves and >530k loadport cycles completed with 10 mm pitch prototype carriers ITB pitch budget assessment and results support 10 mm pitch, assuming 1 mm tolerance for wafer process-induced warp 12 mm pitch currently being balloted with 3.2 mm tolerance assumption Prototype 10mm Yellow Ballot FOUPs, loadport and EFEMs demonstrate good interoperability and reliability Next phase of testing for 2nd half of 2009 is based on moving latest FI components to cleanroom to perform clean testing and standards verification 15 July

74 Accelerating Manufacturing Productivity 450 mm ESH Updates James Beasley ESH Project Manager Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

75 450 mm Resource Conservation Vision: No per-wafer increase in energy and water use, and air emissions 300 mm 450 mm presents a unique opportunity to achieve a 55% reduction in resource use per cm mm 450 mm wafer = 2.25X surface area Achieving this vision requires close cooperation and communication between suppliers and end users 45% 300 mm n/cm mm n/cm 2 15 July

76 450 mm Energy Conservation Strategies Measure and report using the ISMI S23 Total Equivalent Energy Calculator SEMATECH DOC ID #: B-ENG (public document) Characterize and reduce disparity between design power and actual measured power; target is < 125% (design/actual) Identify and enable idle mode opportunities with mainframe and support equipment A holistic approach to equipment, factory, and operations is best and will require close cooperation between suppliers and end users Chamber Heat Power Electrical Power Modulation In a Sleep/Idle State RF Power Turbopump Power Already off during idle Not Feasible Process Impact Feasible Little to No Process Impact Accurate reporting of energy consumption using the ISMI S23 TEE Tool is the starting point for 450 mm Compressor Power Vac Pump Power Vac Pump Power Vac Pump Power Blower Power ISMI Confidential Recirc Pump Power Waste Pump Power 15 July

77 450 mm Water Use Strategies Report water use and liquid effluents using the ISMI Guideline for Environmental Characterization of Semiconductor Process Equipment SEMATECH DOC ID #: A-ENG (public) Waste stream segregation: High concentration waste streams easier to treat and facilitate recycling Low concentration waste (<40%, depending of ultra pure water [UPW] system parameters) can return for reuse 450 mm Tool Water use/reuse/recycling opportunities vary widely depending on facility parameters Accurate characterization, per the ISMI guideline, is a starting point UPW Low concentration High concentration 15 July

78 450 mm Air Emissions Strategies ISMI Environmental Characterization Guideline report as a baseline at the 450 mm equipment demo phase Title: Guideline for Environmental Characterization of Semiconductor Process Equipment SEMATECH DOC ID #: A-ENG (public) Replace in situ PFC/CVD cleaning with remote plasma cleaning Consider non-pfc cleaning materials (F 2, ClF 3, etc.) Accelerate development of lower Global Warming Potential etch processes Equipment suppliers have made significant progress and should apply 300 mm lessons learned to 450 mm Accurate characterization, using the ISMI guideline, is a starting point; collaboration will lead to success 15 July

79 450 mm Carrier Handling Person guide vehicles (PGVs) or two-person lifts are recommended for FOUP and FOSB handling in development applications Bad idea! Better! BEST! Manual material handling analysis per SEMI S8 should be used to determine the acceptability of two-person lifts FOUP weight with 25 wafers ~ 24 kg Avoid awkward postures or extended reaching 15 July

80 450 mm ESH Success Criteria Equip. phase Requirement α TW Gen β Equip. Demos Production Tools at IDMs Comments ISMI Safety Checklist Provide before delivery / start-up Equipment Sign Off Complete at receiving site Environmental Characterization (Air, Water, etc) Complete during β phase; final before production Third Party SEMI S2 / S8 Reports Provide before delivery / start-up SEMI S23 Total Energy Report Complete during β phase Measured Utility Requirements Provide before delivery / start-up Seismic Design Criteria Provide before delivery / start-up Combustible Materials Report Provide before delivery / start-up Not required at this Equipment Phase 15 July

81 Accelerating Manufacturing Productivity Summary and Key Messages Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

82 Key Messages - ISMI 450 mm Program ISMI maintains its focus on planning a cost-effective 450 mm transition Intel, Samsung, and tsmc have not indicated a change in the 2012 target for 450 mm pilot line capabilities ISMI is making progress in building 450 mm infrastructure by working closely with device makers, process/metrology equipment suppliers, factory integration component suppliers, and silicon manufacturers. Progress has been made more is required 450 mm single crystal, mechanical grade silicon wafer loans are now available from ISMI; supplier information turns have started to improve wafer quality ISMI has completed supplier selections for 6 critical process and metrology equipment required to initiate the generation of 450 mm test wafers and has engaged with >60 suppliers to identify opportunities to realize additional test wafer capabilities. 450 mm equipment will begin generation and distribution of test wafers in Q3 09 Multiple suppliers have begun development of 450 mm mainframes to enable development of chamber-based processes 15 July

83 Key Messages ISMI 450 mm Program (continued) 450 mm Equipment Performance Metrics (EPM) have been developed by ISMI, with inputs from process and metrology equipment suppliers for 60 tool types, based upon ITRS specifications for 32 nm and 22 nm process generations The ISMI 450 mm Interoperability Test Bed (ITB), working in conjunction with carrier, loadport, and EFEM suppliers, has accelerated the progress of 450 mm physical interfaces and carrier standards, conducted reliability testing of factory integration components, and validated standards concepts Elimination of factory integration options and alignment on wafer pitch have been critical milestones 450 mm environmental performance targets are equivalent to 300 mm on a per-wafer basis for energy use, water use, and air emissions. ISMI has developed strategies to realize these goals. ISMI would like to discuss individual supplier interests for engagement in all aspects of the ISMI 450 mm Program. For additional information, Contact: Tom Jefferson, 450 mm Program Manager (tom.jefferson@ismi.sematech.org) 15 July

84 Improved ISMI 450 mm Website -FYI 450 mm Guidelines ISMI 450 mm Guidelines (PDF) 450 mm Equipment 450 mm Equipment Performance Metrics (PDF) Jun mm Test Wafer Generation Equipment Requirements One location for all ISMI 450 mm materials Latest Information, organized by topic URL: wafersize/index.htm 450 mm Factory Integration Interoperability Test Bed (ITB) Updates to the SEMI 450 mm IPIC (PDF) 450 mm Safety 450 mm Equipment ESH Checklist 33551TD 450 mm Industry Briefings and Workshops ISMI 450 mm Industry Briefing (PDF) - Dec ISMI 450 mm Industry Briefing (PDF) - Jul July

85 Questions and Discussion ISMI Accelerating Manufacturing Productivity 15 July

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Taiwan September 7, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

ISMI 450mm Transition Program

ISMI 450mm Transition Program SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI 450mm Transition Program Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

ISMI Industry Productivity Driver

ISMI Industry Productivity Driver SEMATECH Symposium Japan September 15, 2010 Accelerating Manufacturing Productivity ISMI Industry Productivity Driver Scott Kramer VP Manufacturing Technology SEMATECH Copyright 2010 SEMATECH, Inc. SEMATECH,

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA

Expert. Elemental Scientific VPD-ICPMS. Fully Automated Auto Scanning System ICP ICPMS AA Expert VPD-ICPMS Fully Automated Auto Scanning System Elemental Scientific ICP ICPMS AA Expert Features An indispensable tool for the determination of metal impurities in Si wafer Expert automates routine

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9

Okayama Technology Center 7. TAZMO Apprecia Formosa Inc. 8. TAZMO Apprecia Electronics (Shanghai) Inc. 9 Contents Corporate Principles 3 Organization 4 Milestones 5 Company Information 6 Okayama Technology Center 7 TAZMO Apprecia Formosa Inc. 8 TAZMO Apprecia Electronics (Shanghai) Inc. 9 Products 10 Our

More information

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. C M P C h a r a c t e r I z a t I o n S o l u t I o n s 200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC. 2920 Scott Blvd., Santa Clara, CA 95054 Tel: 408-919-0094,

More information

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

From Possible to Practical The Evolution of Nanoimprint for Patterned Media From Possible to Practical The Evolution of Nanoimprint for Patterned Media Paul Hofemann March 13, 2009 HDD Areal Density Industry Roadmap 10,000 Media Technology Roadmap Today Areal Density (Gbit/in

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

State-of-The-Art Dielectric Etch Technology

State-of-The-Art Dielectric Etch Technology State-of-The-Art Dielectric Etch Technology Koichi Yatsuda Product Marketing Manager Etch System Business Unit November 5 th, 2010 TM Outline Dielectric Etch Challenges for State-of-The-Art Devices Control

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Wireless Metrology in Semiconductor Manufacturing

Wireless Metrology in Semiconductor Manufacturing 1 Wireless Metrology in Semiconductor Manufacturing Costas J. Spanos Seminar 2 Outline Historical perspective Hardware and software applications Breakthroughs that have yet to be realized Distributed control

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

REVISION TO SEMI M , MECHANICAL SPECIFICATION FOR FRONT-OPENING SHIPPING BOX USED TO TRANSPORT AND SHIP 300MM WAFERS

REVISION TO SEMI M , MECHANICAL SPECIFICATION FOR FRONT-OPENING SHIPPING BOX USED TO TRANSPORT AND SHIP 300MM WAFERS Background Statement for SEMI Draft Document 4481B REVISION TO SEMI M31-0307, MECHANICAL SPECIFICATION FOR FRONT-OPENING SHIPPING BOX USED TO TRANSPORT AND SHIP 300MM WAFERS Note: This background statement

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

Innovative Technologies for RF & Power Applications

Innovative Technologies for RF & Power Applications Innovative Technologies for RF & Power Applications > Munich > Nov 14, 2017 1 Key Technologies Key Technologies Veeco Market Focus Advanced Packaging, MEMS & RF Lighting, Display & Power Electronics Lithography

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

CMOS as a Research Platform Progress Report -June 2001 to August 2002-

CMOS as a Research Platform Progress Report -June 2001 to August 2002- CMOS as a Research Platform Progress Report -June 2001 to August 2002- Zhiping (James) Zhou Microelectronics Research Center Georgia Institute of Technology http://cmos.mirc.gatech.edu September 5, 2002

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

A New Paradigm for Disruptive Technology Development and Transition

A New Paradigm for Disruptive Technology Development and Transition A New Paradigm for Disruptive Technology Development and Transition Gregory B. Raupp, Director Flexible Display Center at Arizona State University ASU Research Park Tempe, Arizona 85224 raupp@asu.edu http://flexdisplay.asu.edu

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Innovative Approaches in Collaborative Planning

Innovative Approaches in Collaborative Planning Innovative Approaches in Collaborative Planning Lessons Learned from Public and Private Sector Roadmaps Jack Eisenhauer Senior Vice President September 17, 2009 Ross Brindle Program Director Energetics

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

26 June 2013 copyright 2013 G450C

26 June 2013 copyright 2013 G450C 450 mm Equipment Demonstrations at G450C Statistics Used During Tests of the Semiconductor Industry s Latest Fab Equipment Transition Lorn Christal, G450C Program Manager Demonstration Test Execution 26

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Design, Characteristics and Performance of Diamond Pad Conditioners

Design, Characteristics and Performance of Diamond Pad Conditioners Reprinted from Mater. Res. Soc. Symp. Proc. Volume 1249 21 Materials Research Society 1249-E2-4 Design, Characteristics and Performance of Diamond Pad Conditioners Doug Pysher, Brian Goers, John Zabasajja

More information

Fokko Pentinga President & CEO

Fokko Pentinga President & CEO J.S. Whang Executive Chairman Fokko Pentinga President & CEO Brad Anderson Executive VP & CFO Solar Semiconductor LED 1 Safe Harbor Statement This Presentation may contain certain statements or information

More information

Wafer-Edge Challenges

Wafer-Edge Challenges Wafer-Edge Challenges SEMI STEP Wafer Edge Profile SEMICON/West 2006 Tetsuo Fukuda SEMI Japan (Fujitsu) Japan Advanced Wafer Geometry Task Force SEMI Japan Abstract Issues on edge profile are discussed

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview EUV Mask Flatness & Carrier/Loadport Workshop October 19 th 2006 - Barcelona Spain EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview Phil Seidel, Chris Van Peski Stefan Wurm

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Economic Model Workshop, Philadelphia

Economic Model Workshop, Philadelphia Economic Model Workshop, Philadelphia Denis Fandel, Project Manager, MM&P 1 August 2001 Meeting Guidelines Project Mission / Model Overview Early Production Test Program Fundamental Assumption Allocation

More information

Fault Diagnosis Algorithms Part 2

Fault Diagnosis Algorithms Part 2 Fault Diagnosis Algorithms Part 2 By Christopher Henderson Page 1 Fault Diagnosis Algorithms Part 2 Page 5 Technical Tidbit Page 8 Ask the Experts Figure 4. Circuit schematic. This is an example of a circuit

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED

J.S. Whang Executive Chairman. Fokko Pentinga President & CEO. Solar Semiconductor LED J.S. Whang Executive Chairman Fokko Pentinga President & CEO Solar Semiconductor LED 1 Safe Harbor Statement This Presentation may contain certain statements or information that constitute forward-looking

More information

Fully Automated Auto Scanning System VPD-ICPMS Expert

Fully Automated Auto Scanning System VPD-ICPMS Expert Fully Automated Auto Scanning System VPD-ICPMS Expert www.vpdicpms.com An indispensable tool for analysis of metallic impurities in Si wafer Developed in cooperation with Samsung Electronics Co., Ltd.

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition

International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP. Guidance For Wafer Probe R&D Resources Edition International SEMATECH Wafer Probe Benchmarking Project WAFER PROBE ROADMAP Guidance For Wafer Probe R&D Resources 2002 Edition Fred Taber, IBM Microelectronics Probe Project Chair Gavin Gibson, Infineon

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution Created by Advanced Energy Industries, Inc., Fort Collins, CO Abstract Conventional applications for remote plasma sources

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

ACCELERATING THE FUTURE OF SEMICONDUCTORS

ACCELERATING THE FUTURE OF SEMICONDUCTORS ACCELERATING THE FUTURE OF SEMICONDUCTORS 14 PRODUCTION FACILITIES 7R&D FACILITIES 10+ COUNTRIES VERSUM MATERIALS BY THE NUMBERS* *Fiscal year ending September 30, 2018. 250+ CUSTOMERS CORE INDUSTRIES

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

System100Pro. Production tools for wafer processing. The Business of Science

System100Pro. Production tools for wafer processing. The Business of Science System100Pro Production tools for wafer processing The Business of Science Process tools & modules Oxford Instruments' System100Pro production tools are built on 200 mm, 300 mm and multiwafer batch process

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information

Efficiency & Yield Improvements with Factory-Wide Process Control Software

Efficiency & Yield Improvements with Factory-Wide Process Control Software Efficiency & Yield Improvements with Factory-Wide Process Control Software David Genova, MS, MBA david.genova@rudolphtech.com PVMC c-si Metrology Workshop July, 2012 Outline Factory Automation Data-Collection

More information

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process

Samsung K4B1G0846F-HCF8 1 Gbit DDR3 SDRAM 48 nm CMOS DRAM Process Samsung K4B1G0846F-HCF8 48 nm CMOS DRAM Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Legacy & Leading Edge Both are Winners

Legacy & Leading Edge Both are Winners Legacy & Leading Edge Both are Winners Semicon CMP User Group July 16, 2015 Sue Davis 408-833-5905 CMP Team Contributors: Mike Fury, Ph.D. Karey Holland, Ph.D. Jerry Yang, Ph.D. www.techcet.com 1 Outline

More information

Real time plasma etch control by means of physical plasma parameters with HERCULES

Real time plasma etch control by means of physical plasma parameters with HERCULES Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International

More information

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production DTU Danchip National Center for Micro- and Nanofabrication DTU Danchip DTU Danchip is Denmark

More information

NA Silicon Wafer Committee Meeting Summary and Minutes

NA Silicon Wafer Committee Meeting Summary and Minutes NA Silicon Wafer Committee Meeting Summary and Minutes Next Committee Meeting July 13, 2010 SEMICON West, San Francisco, CA NA Spring Meetings March 30, 2010, 13:30 16:30 Intel, Santa Clara, CA Table 1

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Litho Metrology. Program

Litho Metrology. Program Litho Metrology Program John Allgair, Ph.D. Litho Metrology Manager (Motorola assignee) john.allgair@sematech.org Phone: 512-356-7439 January, 2004 National Nanotechnology Initiative Workshop on Instrumentation

More information

CD-SEM for 65-nm Process Node

CD-SEM for 65-nm Process Node CD-SEM for 65-nm Process Node 140 CD-SEM for 65-nm Process Node Hiroki Kawada Hidetoshi Morokuma Sho Takami Mari Nozoe OVERVIEW: Inspection equipment for 90-nm and subsequent process nodes is required

More information

Voltage Sag Immunity Compliance Certificate PULS QS10.241, QS A1, QS C1

Voltage Sag Immunity Compliance Certificate PULS QS10.241, QS A1, QS C1 PSL File QS10_241 Last modified: 19 December 2011 PSL Power Standards Laboratory www.powerstandards.com 2020 Challenger Drive #100 Alameda, CA 94501 USA TEL ++1-510-522-4400 FAX ++1-510-522-4455 SEMI F47-0706

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information

Application Note AN-1011

Application Note AN-1011 AN-1011 Board Mounting Application Note for 0.800mm Pitch Devices For part numbers IRF6100, IRF6100PBF, IR130CSP, IR130CSPPBF, IR140CSP, IR140CSPPBF, IR1H40CSP, IR1H40CSPPBF By Hazel Schofield and Philip

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

Deliverable D5.2 DEMO chip processing option 3

Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing Option 3 Date: 22-03-2017 PiezoMAT 2017-03-22_Delivrable_D5.2 Author(s): E.Saoutieff; M.Allain (CEA) Participant(s):

More information