CMOS as a Research Platform Progress Report -June 2001 to August 2002-

Size: px
Start display at page:

Download "CMOS as a Research Platform Progress Report -June 2001 to August 2002-"

Transcription

1 CMOS as a Research Platform Progress Report -June 2001 to August Zhiping (James) Zhou Microelectronics Research Center Georgia Institute of Technology September 5, 2002

2 Outline Introduction Platform Baselines Services Training Research People Conclusions Acknowledgments

3 Introduction Why Platform The baseline alone is not enough to support research and new initiatives such as sea of leads (SOL), MEMS sensors, or communications technologies. What the Platform does The platform facilitates a synergetic collaboration between CMOS research and other research projects, which may also involve training, service, and facility support.

4 Platform CMOS AS A RESEACH PLATFORM PEOPLE BASELINES SERVICES TRAINING RESEARCH

5 Baselines CMOS runs Finished Batch 5, 6, 7; Started Batch 8 Development Electrical test In-line test matrix Recipe refinement Maintenance Checking equipment status Working with maintenance staff

6 Baselines Electrical test status summary Installed LabView 6i on PC and now have GPIB control of HP 4156 parametric analyzer, Keithley 590 CV meter, Alessi REL-6100 probe station movement Identified 541 parametric tests of which 459 (85%) are completed in LabView code and fully automated Reduced man hours from ~80 to 1 and test time from 2 weeks to 3 days Can sample any number of die across wafer as desired Results are stored in an ASCII text file for easy use with various analysis software Testing has provided several insights for process improvement such as transistor leakage with wafer flat GFA

7 Baselines In-line test matrix Independent (Test Grade) Split I-1 I-2 I-3 I-4 I-5 I-6 I-7 I-8 I-9 I-10 I-11 # Wafers Process Sequences Initial Oxidation Pad Oxidation Sacrificial Oxide Gate Oxidation Capacitor Oxide LOCOS PSG Deposition Metal-1 Deposition SOG Deposition 2nd PSG Deposition VIA Photo N-Well Litho Nitride Hard Mask 1st PolySilicon 2nd PolySilicon Nitride Wet Etch PSG Densification Metal-2 RIE Etch Back Test Via Etch to ME-1 Nitride RIE Poly Doping Poly Doping PSG Etch Sintering Poly Anneal Poly Anneal Poly Etch Poly Etch I-12 2 Metal 2- Deposition Metal-2 RIE Sintering Monitor (Prime Grade) Split M-1 M-2 M-3 M-4 M-5 M-6 M-7 M-8 # Wafers Process Sequences Intiial Oxidation Pad Oxidation Sacrificial Oxide Gate Oxidation Capacitor Oxide Gate/Cap Sequence N+ S/D Implant P+ S/D Implant N-Well Implantation Field Implantation Threshold Implant 1st PolySilicon 2nd PolySilicon Drive In Drive In Poly Doping Poly Doping Poly Anneal Poly Anneal CMOS Batch 8 9/4/02

8 Baselines Publications 1. M. S. Bakir, H. D. Thacker, Z. Zhou, P. A. Kohl, K. P. Martin, and J. D. Meindl, "Sea of lead microwave characterization and process integration with FEOL & BEOL," IEEE International Interconnect Technology Conference, pp , San Francisco, CA, June Jiandong Jin and Zhiping Zhou, Simulation and Modeling of Micro Pressure Sensor Array Int. Conf. MSM 2002, pp , San Juan, Puerto Rico, April 22-25, Zhou, Z. CMOS as a Research Platform, Proceedings of the 14 th University/Government/Industry Microelectronics Symposium, pp , Virginia Commonwealth University, Richmond, VA, June 17-20, Akil Sutton and Zhiping Zhou, Simulation of Georgia Tech s CMOS Baseline Using T- SUPREM4 and MEDICI, Proceedings of the 14 th University/Government/Industry Microelectronics Symposium, pp , Virginia Commonwealth University, Richmond, VA, June 17-20, Aric Madayag and Zhiping Zhou, Optimization of Spin-On-Glass Process for Multilevel Metal Interconnects, Proceedings of the 14 th University/Government/Industry Microelectronics Symposium, pp , Virginia Commonwealth University, Richmond, VA, June 17-20, 2001.

9 Services The Platform provides the following processing services to Tech research community and other research group around the world. Process Modules Run (as needed) CMOS Runs (Quarterly) Wet/Dry oxidation Process design LPCVD HTO and nitride growth IC design LPCVD low-stress nitride growth Mask design LPCVD polysilicon growth Processing RIE nitride and poly etch Characterization ICP deep trench processing Mask Making Lithography Mask design consultation Multilevel interconnection Mask fabrication Processing consultation Any combination of above

10 Services Service requests completed CMOS run: Batch 7 Process modules run: 29 Mask fabrication: 195 Revenue: over $49,000 Masks Generated Jun-01 Aug-01 Oct-01 Dec-01 Feb-02 Apr-02 Jun-02 Aug-02 Month Num. of Process Requests Jun-01 Jul-01 Aug-01 Sep-01 Oct-01 Nov-01 Dec-01 Jan-02 Feb-02 Mar-02 Apr-02 May-02 Jun-02 Jul-02 Aug-02 Month

11 Services service completed without revenue CMOS run: Batch 5, 6 Process modules run: 41 Mask fabrication: 38

12 Training Training content Onsite equipment training, one-on-one check off, processing training, and chemical safety refresher Trainers Over 20 people includes MiRC Staff, Graduate students, Student assistants Attendees Over 450 on-site classes have been offered and more than 2500 attendees have gone through the program since September 1999

13 Equipment Training Group training One-on-one check-off

14 Equipment Training 09/13/99 10/28/99 11/08/99 12/09/99 01/24/00 02/21/00 04/03/00 05/15/00 06/26/00 07/24/00 09/11/00 10/30/00 11/27/00 01/22/01 02/19/01 03/26/01 04/23/01 05/29/01 06/25/01 07/23/01 08/27/01 09/24/01 10/29/01 11/26/01 01/28/02 02/26/02 03/25/02 05/28/02 06/24/02 07/29/02 08/26/02 Training Session Start Date Signup per Session Total Signups

15 Processing Training CMOS baseline processing training 6 research groups participated in complete processing training and 3 research groups are using the CMOS baseline processing to integrate/fabricate their devices Individual processing module training Mask design, lithography, deposition, oxidation, surface preparation, plasma etching, SEM inspection, etc. Processing consultation Over 80 graduate or postdoctoral students from 32 PIs benefited from the free consultation

16 Chemical Safety Refresher

17 Research The Platform supports a variety of research, which includes, but is not limited to, the following programs: Novel CMOS structures and processing Semiconductor Sensor arrays Integration of CMOS and MEMS Integrated optoelectronics New interconnection schemes Sea of leads chip input/output interconnects

18 1. Thin Film Deposition Material/dielectric development and characterization Polysilicon Stress, growth rate, grain size, and surface roughness Sio 2,Si x N y,sio x N y Deposition methods: LPCVD, PECVD, APCVD Full control of stress, composition, thickness, refractive index Partial control of conformality

19 2. CMP Objectives Investigate the influence of pad roughness (conditioning) and abrasive size on dishing and erosion Investigate the effect of process conditions on dishing and erosion Investigate the influence of suction pressure on the location of dishing and erosion Develop physics-based models of dishing and erosion

20 SEM Images of Patterned Wafers (a) Top view (b) Cross-section showing SiO 2 trenches (c) Cross-section showing Ta/Cu seed layer

21 SEM Images of Patterned Wafers (d) Cross-section showing electro-plated Cu (e) Cross-section showing DC sputtered Cu

22 3. Chemical Sensor Array

23 8-channel chemical sensor array GT-01

24 4. CMOS/MEMS Integration CMOS based integration

25 4.1 MEMS Pressure Sensor Array Design Concept The distribution of stress on elastic diaphragm depends on diaphragm structure and material parameters. Each designed chip includes one temperature sensor, three absolute pressure sensors, one differential pressure sensor, and one signal collecting and processing circuit. CMOSCIRCUIT AP3 AP1 DP AP2 Fig.1. The sensor array layout Fig.2. The cross-section of a differential pressure sensor Fig.3. The cross-section of an absolute pressure sensor

26 Circuits designs unbuffered, two-stage CMOS Op-amp Analog MUX

27 ANSYS Model The rectangular diaphragm consists of polysilicon and silicon nitride. The resistors are made of polysilicon and aluminum. All volumes should be defined with respective material parameters. Using the conversion factors from standard MKS to µmksv. (Units related with meter change to micrometer related.). Selecting proper element type and mesh size. Fig.4 The absolute pressure sensor cross sketch Al Si3N4 Doped poly Si3N4 Polysilicon Cavity Si Substrate

28 Results ANSYS Simulation Polysilicon growth Poly membrane on air gap

29 4.2 Silicon Photodiode Detector Array Current [A] Photodiode Characterization Lamp On Lamp Off 1.50E E E E E E E E E E E E E Voltage [V] Batch 7 fabrication and test result

30 4.3 Conformal Coating SEM Results oxide oxide 600nm High Temperature LPCVD Oxide

31 5. CMOS/SOL Integration

32 People It is a vital link between research and development sectors and fabrication technologies at Georgia Tech. It consists of MiRC staff, GRAs, co-op students, and student assistants to provide support to other four components of the Platform. The Platform provides a unique opportunity for these people to master their processing skill and enhance their collaboration experience while serving research and development communities.

33 People

34 People Student hours Published schedule on web Meet at 8:00am daily to discuss job to be done Often come to work on weekends and after hours

35 Processing Team

36 Processing Team

37 Conclusions A unique, extended CMOS Platform for research has been progressing to its perfection. The Platform is attracting research and development groups from the campus and around the world. The Platform provides An extensive processing service via its CMOS baselines operation A free training program to facilitate cleanroom usage A vital link between research sectors and fabrication technologies The creation of the Platform has significantly elevated the research and process capability at MiRC of Georgia Tech.

38 Acknowledgments The Platform has been encouraged and supported by the MiRC Director, Dr. James D. Meindl. The participation and support of the MiRC staff, CMOS group members, equipment trainers, and the MiRC affiliated PIs makes this program possible. The efforts of Mr. Todd McKenzie, Mr. Eric Woods, Mr. Matthew Leidy, and Mr. Akil Sutton add tremendous values to the program.

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Surface Micromachining

Surface Micromachining Surface Micromachining An IC-Compatible Sensor Technology Bernhard E. Boser Berkeley Sensor & Actuator Center Dept. of Electrical Engineering and Computer Sciences University of California, Berkeley Sensor

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology Smart Vision Chip Fabricated Using Three Dimensional Integration Technology H.Kurino, M.Nakagawa, K.W.Lee, T.Nakamura, Y.Yamada, K.T.Park and M.Koyanagi Dept. of Machine Intelligence and Systems Engineering,

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process 3D-NAND Flash and Its Manufacturing Process 79 (d) Si Si (b) (c) (e) Si (f) +1-2 (g) (h) Figure 2.33 Top-down view in cap oxide and (b) in nitride_n-2; (c) cross-section near the top of the channel; top-down

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

Micro-sensors - what happens when you make classical devices small: MEMS devices and integrated bolometric IR detectors Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors Dean P. Neikirk 1 MURI bio-ir sensors kick-off 6/16/98 Where are the targets

More information

isagers. Three aicron gate spacing was

isagers. Three aicron gate spacing was LIJEAR POLY GATE CHARGE COUPLED DEVICE IMAGING ARRAYS Lucien Randazzese Senior Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A five cask level process was used to fabricate

More information

Electrothermal Actuator

Electrothermal Actuator Electrothermal Actuator 09-09-14 Generated by CleanRoom Substrate thickness: 50 (µm) Comments: 1. Substrate Si Czochralski (100) Film Thickness: 600 nm (Conformal) Comments: 2. Deposition Si3N4 PECVD (Ar)

More information

A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE

A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE To be presented at the 1998 MEMS Conference, Heidelberg, Germany, Jan. 25-29 1998 1 A HIGH SENSITIVITY POLYSILICON DIAPHRAGM CONDENSER MICROPHONE P.-C. Hsu, C. H. Mastrangelo, and K. D. Wise Center for

More information

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy Society for Integrated circuit Technology and Applied Research Centre (SITAR), 1640, Doorvaninagar, Bangalore, Karnataka,

More information

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni,

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

A Low-cost Through Via Interconnection for ISM WLP

A Low-cost Through Via Interconnection for ISM WLP A Low-cost Through Via Interconnection for ISM WLP Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim, Seung-Wook Park, Young-Do Kweon, Sung Yi To cite this version: Jingli Yuan, Won-Kyu Jeung, Chang-Hyun Lim,

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. Issued: Tuesday, Sept. 13, 2011 PROBLEM SET #2 Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory. 1. Below in Figure 1.1 is a description of a DRIE silicon etch using the Marvell

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

EE 330 Lecture 11. Capacitances in Interconnects Back-end Processing

EE 330 Lecture 11. Capacitances in Interconnects Back-end Processing EE 330 Lecture 11 Capacitances in Interconnects Back-end Processing Exam 1 Friday Sept 21 Students may bring 1 page of notes HW assignment for week of Sept 16 due on Wed Sept 19 at beginning of class No

More information

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics The Department of Advanced Materials Engineering Materials and Processes in Polymeric Microelectronics 1 Outline Materials and Processes in Polymeric Microelectronics Polymeric Microelectronics Process

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

Dr. Lynn Fuller, Ivan Puchades

Dr. Lynn Fuller, Ivan Puchades ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Bulk Micromachined Laboratory Project Dr. Lynn Fuller, Ivan Puchades Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage

CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage CMOS-Electromechanical Systems Microsensor Resonator with High Q-Factor at Low Voltage S.Thenappan 1, N.Porutchelvam 2 1,2 Department of ECE, Gnanamani College of Technology, India Abstract The paper presents

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C45 ME C18 Introduction to MEMS Design Fall 008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 9470 Lecture 7: Noise &

More information

Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7

Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7 Color Plates SIMULATION OF SEMICONDUCTOR LITHOGRAPHY AND TOPOGRAPHY - ARN 7 FIGURE CP.1 Layout and cross section of an N-Well CMOS process using 2D models in SIMPL-2 [rzz.lee.phd]. FIGURE CP.2 Magnified

More information

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) ,

MYUNGHWAN PARK Westchester Park Drive, APT 1510, College Park, Maryland MOBILE : (+1) , RESEARCH INTERESTS MYUNGHWAN PARK 6200 Westchester Park Drive, APT 1510, College Park, Maryland 20740 MOBILE : (+1) 240-678-9863, EMAIL : mhpark@umd.edu My overall research interest is the physics of integrated

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

2007-Novel structures of a MEMS-based pressure sensor

2007-Novel structures of a MEMS-based pressure sensor C-(No.16 font) put by office 2007-Novel structures of a MEMS-based pressure sensor Chang-Sin Park(*1), Young-Soo Choi(*1), Dong-Weon Lee (*2) and Bo-Seon Kang(*2) (1*) Department of Mechanical Engineering,

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

IMPACT OF 450MM ON CMP

IMPACT OF 450MM ON CMP IMPACT OF 450MM ON CMP MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING, LLC MCORBETT@LINX-CONSULTING.COM PREPARED FOR CMPUG JULY 2011 LINX CONSULTING Outline 1. Overview of Linx Consulting 2. CMP Outlook/Drivers

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

High sensitivity acoustic transducers with thin p q membranes and gold back-plate

High sensitivity acoustic transducers with thin p q membranes and gold back-plate Ž. Sensors and Actuators 78 1999 138 142 www.elsevier.nlrlocatersna High sensitivity acoustic transducers with thin p q membranes and gold back-plate A.E. Kabir a, R. Bashir b,), J. Bernstein c, J. De

More information

mpogand reviawing ie collection of informapon. Send commrents regalrding tu~s burden estimate or any Quarterly Progress Report 0/1o n~

mpogand reviawing ie collection of informapon. Send commrents regalrding tu~s burden estimate or any Quarterly Progress Report 0/1o n~ REPORT DOCUMENTATION PAGE.. Form Approved AD- A2 488~ AOMB 11 I I No. 0704-0188 ~UMated to average 1 hour per response, including Vie tmne to, reviewing; instructions. searctung existing data mpogand reviawing

More information

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator Figure 4 Advantage of having smaller focal spot on CCD with super-fine pixels: Larger focal point compromises the sensitivity, spatial resolution, and accuracy. Figure 1 Typical microlens array for Shack-Hartmann

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

Fault Diagnosis Algorithms Part 2

Fault Diagnosis Algorithms Part 2 Fault Diagnosis Algorithms Part 2 By Christopher Henderson Page 1 Fault Diagnosis Algorithms Part 2 Page 5 Technical Tidbit Page 8 Ask the Experts Figure 4. Circuit schematic. This is an example of a circuit

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications Proceedings of the 17th World Congress The International Federation of Automatic Control Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

More information

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura Stresa, Italy, 25-27 April 2007 PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING Teruhisa Akashi and Yasuhiro Yoshimura Mechanical Engineering Research Laboratory (MERL),

More information

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities

Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Micro-fabrication of Hemispherical Poly-Silicon Shells Standing on Hemispherical Cavities Cheng-Hsuan Lin a, Yi-Chung Lo b, Wensyang Hsu *a a Department of Mechanical Engineering, National Chiao-Tung University,

More information

The Design and Realization of Basic nmos Digital Devices

The Design and Realization of Basic nmos Digital Devices Proceedings of The National Conference On Undergraduate Research (NCUR) 2004 Indiana University Purdue University Indianapolis, Indiana April 15-17, 2004 The Design and Realization of Basic nmos Digital

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

ECE4902 B2015 HW Set 1

ECE4902 B2015 HW Set 1 ECE4902 B2015 HW Set 1 Due in class Tuesday November 3. To make life easier on the graders: Be sure your NAME and ECE MAILBOX NUMBER are prominently displayed on the upper right of what you hand in. When

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes

Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes Design of a microactuator array against the coupled nature of microelectromechanical systems (MEMS) processes Annals of CIRP, vol.49/1, 2000 Abstract S. G. Kim (2) and M. K. Koo Advanced Display and MEMS

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

3D SOI elements for System-on-Chip applications

3D SOI elements for System-on-Chip applications Advanced Materials Research Online: 2011-07-04 ISSN: 1662-8985, Vol. 276, pp 137-144 doi:10.4028/www.scientific.net/amr.276.137 2011 Trans Tech Publications, Switzerland 3D SOI elements for System-on-Chip

More information

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON

n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON n-channel LDMOS WITH STI FOR BREAKDOWN VOLTAGE ENHANCEMENT AND IMPROVED R ON 1 SUNITHA HD, 2 KESHAVENI N 1 Asstt Prof., Department of Electronics Engineering, EPCET, Bangalore 2 Prof., Department of Electronics

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH

AC : EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH AC 2011-1595: EXPERIMENTAL MODULES INTRODUCING MICRO- FABRICATION UTILIZING A MULTIDISCIPLINARY APPROACH Shawn Wagoner, Binghamton University Director, Nanofabrication Labatory at Binghamton University,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor

InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor InvenSense IDG-300 Dual-Axis Angular Rate Gyroscope Sensor MEMS Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies

Industrialization of Micro-Electro-Mechanical Systems. Werner Weber Infineon Technologies Industrialization of Micro-Electro-Mechanical Systems Werner Weber Infineon Technologies Semiconductor-based MEMS market MEMS Market 2004 (total 22.7 BUS$) Others mostly Digital Light Projection IR Sensors

More information

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review

STMicroelectronics LIS3L02AE 3-Axis Accelerometer. MEMS Process Review 3-Axis Accelerometer For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks. 3685 Richmond

More information

Sensitive Continuous Monitoring of ph thanks to Matrix of several Suspended Gate Field Effect Transistors. Introduction

Sensitive Continuous Monitoring of ph thanks to Matrix of several Suspended Gate Field Effect Transistors. Introduction Sensitive Continuous Monitoring of thanks to Matrix of several Suspended Gate Field Effect Transistors B. da Silva Rodrigues a,b, O. De Sagazan a, S. Crand a, F. LeBihan a, O. Bonnaud a, T. Mohammed-Brahim

More information

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1

ISSCC 2006 / SESSION 16 / MEMS AND SENSORS / 16.1 16.1 A 4.5mW Closed-Loop Σ Micro-Gravity CMOS-SOI Accelerometer Babak Vakili Amini, Reza Abdolvand, Farrokh Ayazi Georgia Institute of Technology, Atlanta, GA Recently, there has been an increasing demand

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Piezoelectric Lead Zirconate Titanate (PZT) Ring Shaped Contour-Mode MEMS Resonators

Piezoelectric Lead Zirconate Titanate (PZT) Ring Shaped Contour-Mode MEMS Resonators IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Piezoelectric Lead Zirconate Titanate (PZT) Ring Shaped Contour-Mode MEMS Resonators To cite this article: P.V. Kasambe et al

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

Design, Characterization & Modelling of a CMOS Magnetic Field Sensor

Design, Characterization & Modelling of a CMOS Magnetic Field Sensor Design, Characteriation & Modelling of a CMOS Magnetic Field Sensor L. Latorre,, Y.Bertrand, P.Haard, F.Pressecq, P.Nouet LIRMM, UMR CNRS / Universit de Montpellier II, Montpellier France CNES, Quality

More information

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41928-018-0056-6 In the format provided by the authors and unedited. Low-power carbon nanotube-based integrated circuits that can be transferred

More information