Intel s s Silicon Power Savings Strategy

Size: px
Start display at page:

Download "Intel s s Silicon Power Savings Strategy"

Transcription

1 Intel s s Silicon Power Savings Strategy Keeping Moore s s Law Alive and Well Paolo Gargini Intel Fellow and Director, Technology Strategy

2 Agenda Moore s s Law and scaling The power challenge Looking ahead 2

3 Agenda Moore s s Law and scaling The power challenge Looking ahead 3

4 Moore s Law Moore s s Law Transistors Per Die The new slope might approxi- mate a doubling every two years, rather than every year, by the end of the decade. Gordon Moore, 1975 Reduced cost is one of the big attractions of integrated electronics, and the cost advantage continues to increase as the technology evolves toward the production of larger and larger circuit functions on a single semiconductor substrate. Electronics, Volume 38, Number 8, April 19, Data (Moore) Source: Intel 4

5 Processor Moore s s Law (functions per chip) Pentium Processor 286 Itanium 2 Processor Itanium Processor Pentium III Processor 486 DX Processor 2X/2YR Pentium 4 Processor Pentium II Processor 2X/1YR 2X/2YR ,000,000,000 1,000,000, ,000,000 10,000,000 1,000, ,000 10,000 1,000 5

6 Moore s Law Moore s s Law in Action: Intel 486 Processor Microprocessors Advance 1.0µm 0.8µm 0.6µm 0.35µm 0.25µm 0.18µm 0.13µm 90nm Pentium Processor Pentium II/III Processor Pentium 4 Processor Process + architecture innovations 6 Source: Intel

7 Manufacturing Leadership: Scale, Agility and Excellence 0.13 µm 90 nm Wafer Starts / Week (200mm Equiv.) 0.6 µm 0.35 µm 0.25 µm 0.18 µm 65 nm Each process generation ramps faster and higher 7

8 Intel s s 90nm Process Yield Improved at Record Rate 0.18µm 200mm 0.13 µm 200mm 0.13 µm 300mm 90nm 300mm Defect Density (log scale) Source: Intel 8

9 Average Transistor Price by Year Nearly 7 Orders Of Magnitude Reduction in Price/Transistor Nanodollars per transistor! '68 '70 '72 '74 '76 '78 '80 '82 '84 '86 '88 '90 '92 '94 '96 '98 '00 '02 Source: WSTS/Dataquest/Intel, 3/04 9

10 Silicon Technology Reaches Nanoscale 10 Nominal feature size Micron Gate Length Nanotechnology (< 100nm) 0.7X every 2 years 130nm 90nm 65nm 45nm 32nm 70nm 22nm 50nm 35nm 25nm 18nm 12nm Nano- meter Source: Intel 10

11 Lithography Must Break Through to Shorter Wavelength 13.5nm) Looking ahead 1000 nm Feature size * Extreme Ultraviolet 100 Lithography Wavelength 248nm 193nm & extensions Gap EUV Source: Intel 11

12 Environm ent, Safety, and Health im pact reduction: safer solvents, w ater soluble, dry processing, etc. H igh sensitivity alternate chem istries (Non-acid catalyzed) I-L in e 248 nm DU V 0.35 m m G e n e r a tio n 248 nm DU V w/ enhancem ents 1X X-ray single layer 0.25 um Generation n m s i n g l e l a y e r & A R C RESIST TECHNOLOGY EUV n m s i n g l e l a y e r & A R C n m s u r f a c e i m a g i n g 1 X X - r a y s i n g l e l a y e r 193 nm N X I o n p r o j e c t i o n N X E-beam projection N X E-beam projection N X Ion Projection E-beam high th ro u g p u t N a r r o w o p t i o n s t o o l - b a s e d N a r r o w O p t i o n s t o o l - b a s e d 0.18 um Generation 0.10 um G e n e r a tio n A dvanced R esist S y s t e m s 1X X-ray E U V N X E-beam projection NX Ion Projection E-beam high througput N a r r o w O p t i o n s t o o l - b a s e d 0.10 um Generation 1X X-ray L e a d in g - E d g e P r o d u c t io n P ilo t L in e Further Study Required D e v e lo p m e n t / M o s t L ik e ly P a th B a c k U p Figure 14 Critical Level Resist Technology Potential Solutions Roadmap 1994 NTRS Semiconductor Industry Association. The National Technology Roadmap for Semiconductors, 1994 edition. SEMATECH:Austin, Tx,

13 Looking ahead EUV Lithography in Commercial Development EUV Micro exposure tool (MET) EUV MET Image (8/04) Integrated development in progress Source power and lifetime Defect free mask fabrication and handling Optics lifetime Resist performance Source: Intel 13

14 Looking ahead EUV Source Power Increased EUV Power at Intermediate Focus [W] W Production Requirement Average of reported data (SEMATECH Source Workshops) Exponential fit to data Jul-01 Jan-02 Jul-02 Jan-03 Jul-03 Jan-04 Jul-04 Jan-05 Jul-05 Jan-06 Source: SEMATECH 14

15 Looking ahead EUV Mask Blank Defects Reduced 1 Results from SEMATECH Process added defect sensitivity (cm-2) Goal Target for 32nm Only 1 added defect! Jan-04 Apr-04 Jul-04 Sep-04 Dec-04 Source: SEMATECH 15

16 Cost/Pixel Trend w/tool Cost Ranges (Source: Normalized Cost/Pixel) Intel 03) Normalized Cost/Pixel Low Tool Cost High Tool Cost Cost/Pixel normalized to to 90nm Node Tool Cost Ranges based on on 2002 ISMT Exposure Tool Cost Survey Results 16

17 Transistor Trade-offs ε o ε s t ox Increase Cox =>Reduce t ox I DSat ~1 µc ox (W)(V DD V T ) 2 2 Lg S G Lg D W Reduce Lg Reduce V DD F Max = I DSat /V DD C ox Power= V DD2 C ox F Max 17

18 10 Gate Oxide Scaling ε o ε s 1 I DSat 1 µ (V DD V T ) ~ 2 W 2 Lg t ox 10.35um Gate Oxide Thickness (nm).25um Generation.18um.13um 90nm 1.2 nm Source: Intel 18

19 Gate Delay Trend F Max = I DSat /V DD C ox 100nm Source: Intel 19

20 Drive Current (ma/um) Transistor Performance ε o ε s 1 I DSat 1 µ (V DD V T ) ~ 2 W 2 Lg NMOS t ox Generation.25um.35um.18um.13um 90nm 10 Supply Voltage (V) 0.4 PMOS V Source: Intel 20

21 The Incredible Shrinking Silicon Technology in the 90s Salicide Gate Spacer Salicide Salicide Gate Spacer Salicide 0.35 µ µ 1997 Salicide Source: Intel Gate Spacer 0.18µ Salicide

22 New Transistor Trade-off I DSat ~1 µc ox (W)(V DD V T ) 2 2 Lg µ Reduce Lg Increase Cox Increase µ S G Lg D W 22

23 Strained Silicon Transistors Current Flow Normal electron flow Faster electron flow Normal Silicon Lattice Strained Silicon Lattice 23

24 The power challenge Intel Produces Power Efficient 90nm Transistors with Strained Silicon PMOS High Stress Film NMOS SiGe SiGe Compressive channel strain Tensile channel strain 30% drive current increase 10% drive current increase Innovate and integrate for cost effective production 24 Source: Intel

25 65nm Technology Highlights Intel 65 nm generation logic technology provides improved performance and reduced power: 1.2 nm transistor gate oxide 35 nm transistor gate length Enhanced strained silicon technology 8 layers of copper interconnect Low-k k dielectric This technology is being demonstrated on fully functional 70 Mbit SRAM chips with >0.5 billion transistors Intel s s 65 nm technology is on track for delivery in

26 The power challenge Gate oxide scaling has slowed µm µm Transistor performance Gate Oxide Thickness (nm) µm 0.18µm 1.2 nm 0.13µm 90nm nm 1 ε o ε s 1 I DSat 1 µ (V DD V T ) ~ 2 W 2 Lg t ox Mobility Slower scaling of one parameter can be compensated by speeding up another Source: Intel 26

27 65nm Process - Transistor 35nm Strained silicon enhanced for performance and power efficiency Source: Intel 27

28 65 nm Generation Interconnects Metal 8 layer is added for improved density and performance (1 more layer than 90 nm generation) Low-k carbon doped oxide dielectric reduces interconnect capacitance (improved from 90 nm generation) Interconnect capacitance is reduced by use of low-k dielectric and by ~0.7x line length scaling Lower capacitance improves interconnect performance and reduces chip power Power Saving Feature M8 M7 M6 M5 M4 M3 M2 M1 28

29 Defect Reduction Trend 130nm 130nm 90nm 65nm 200mm 300mm 300mm 300mm Defect Density (log scale) Two Years nm yield on same improvement rate with 2 years offset 29

30 Fully Functional Devices on Intel s 65nm Process Yonah Fully functional 70 Mbit SRAM ~110 mm 2 die size >0.5 billion transistors 30

31 Agenda Moore s s Law and scaling The power challenge Looking ahead 31

32 The power challenge Power Challenges Power challenges are neither new nor fundamental Will it be possible to remove the heat generated by 10 s of thousands of components? (Moore, 1965) Intel s s 1 st product 1969: bipolar b b RAM Intel takes a holistic approach From device/process power innovations Transistor optimization is a key to low-power To solutions at the platform level and beyond Intel continues to invest and innovate on Moore s Law R&D and capital Benefits to functions, performance/power, and cost 32

33 Moore s Law Silicon Technology Changes to Increase Power Efficiency Mid s: Bipolar, PMOS Mid s: NMOS Mid s: CMOS Mid s: CMOS, Voltage scaling Mid s: CMOS, Power efficient 33

34 90nm Strained Silicon Saves Transistor Leakage Current (na/um) Std Strain Std Strain +25% I ON +10% I ON x I OFF 0.20x I OFF 1 PMOS NMOS Transistor Drive Current (ma/um) 5X to 25X reduction in transistor leakage power Source: *Third Intel, party VLSI marks Technology and brands are Symposium the property of their 6/04respective owners

35 65 nm Generation Transistors 1.2 nm gate oxide, 35 nm gate length for improved performance 220 nm contacted gate pitch for improved density NiSi for low resistance cap on gates and source-drains Intel s s unique uniaxial strained silicon technology, first introduced on the 90 nm generation, is further enhanced on 65 nm transistors for improved performance At the 65 nm generation, strained silicon improves performance ~30% relative to non-strain Intel has developed a second generation of strained silicon technology while others are still struggling to develop their first generation 35

36 Improved Transistor Performance V PMOS NMOS I OFF 100 (na/um) nm nm I ON (ma/um) 65 nm transistors increase drive current 10-15% 15% with enhanced strain 36

37 Improved Transistor Performance V PMOS NMOS 100 I OFF (na/um) nm nm 2004 Power Saving Feature I ON (ma/um) 65 nm transistors can alternatively provide ~4x leakage reduction No other company has matched these performance-leakage capabilities 37

38 The power challenge Strained Silicon Intel 90nm strain silicon has been in volume production since 2003 Observed leakage N-Channel -> > 5X Reduction P-Channel-> > >5X Reduction Intel 65nm technology has been successfully demonstrated in 2004 using 2 nd Generation Strained Silicon Observed a further leakage from 90nm process N-Channel -> > 4X Reduction P-Channel -> > >4X Reduction 38

39 Reduced Gate Capacitance at 65nm Gate oxide thickness is held constant at 1.2 nm to avoid increased gate leakage Gate capacitance (C GATE ) reduced ~20% due to smaller gate length (35 nm) Source Gate C GATE Drain Lower gate capacitance reduces chip active power Combination of higher drive current and lower gate capacitance provides ~1.4x increase in switching frequency Substrate Power Saving Feature 39

40 The power challenge Lower Junction Capacitance Speeds up Circuits C WIRE 1 C WIRE (1- Cj/C total) Gate Gate C GATE C GATE Source Drain Source Drain C JUNCT Substrate Oxide Substrate SOI reduces junction capacitance (under 5% of total), but not gate or wire capacitance 40

41 Bulk CMOS vs. PDSOI For illustration only Planar CMOS Gate Partially Depleted SOI Gate SiO 2 SiO 2 SiO 2 SiO 2 Silicon Substrate Silicon Buried Substrate Oxide Silicon Substrate 41

42 The power challenge Intel Already Has the Lowest Junction Capacitance (180nm data) Junction 1.00 Capacitance 0.80 (ff/µm 2 ) 0.60 N+/PWell P+/Nwell Wang, EDL Oct.'00 Mehrotra IEDM '99 Imai, IEDM '99 Yoshimura, VLSI '00 Diaz, VLSI '00 Yeap, VLSI '00 Intel Intel Bias (V) Intel achieves the industry s lowest junction capacitance without SOI, thereby avoiding the cost of SOI S. Tyagi, IEDM

43 The power challenge Net Impact of SOI Goes Down with Each Generation All 3 elements of SOI performance diminish with scaling - Gain for 90nm node: 3-10% depending on history guardband 0.18um 130nm 90nm F.O.=1 Inverter 16% 13% 11% F.O.=4 Inverter 8% 7% 6% 3-Input NAND 20% 17% 14% Average 15% 12% 10% History Guardband -5% -6% -7% NET 10% 6% 3% Analysis ignores interconnect load, which reduces SOI gain further K. Mistry, VLSI

44 Intel s s bulk CMOS has extremely low Cj 1 (1- Cj/C total) Example for Illustration only Low Cj =~ 0.1 x C total Gross performance gain = 1/(1-0.1) = 11% Net gain is low Bulk substrate cost much less If Cj = ~0.25 x C total Then, gross performance gain = 1/(1 0.25) = 33% Net gain is high High Cj is indicative of an under optimized bulk CMOS process 44

45 Has SOI solved the leakage problem? No SOI eliminated junction leakage Junction leakage is < 5% of total leakage in Intel process Ioff of Intel Strained Silicon is >10x lower than any reported data, Including SOI R GATE R GATE R JUNCT R SD Oxide R SD Si Substrate Si Substrate BULK SOI SOI reduces junction capacitance, but not gate or wire capacitance 45

46 The power challenge Summary of Strained Silicon vs. SOI Intel 90nm strain silicon has been in volume production since 2003 Partially depleted (PD)-SOI has no place on Intel roadmap: Intel has thoroughly evaluated costs/benefits Is saving millions per year on substrate alone by avoiding SOI Cost adder is 15% or more Performance benefit is less than 5% at 90nm Intel demonstrated transistors with world-leading leading performance/leakage characteristics: Low-junction junction-capacitance capacitance bulk CMOS at 130nm Uniaxial strained silicon at 90nm and beyond Fully depleted (FD)-SOI (e.g. Tri-gate) has been under evaluation for future: Final decision depends on costs/benefits 46

47 Sleep Transistors Reduce Leakage Power V DD 70 Mbit SRAM IR photos SRAM Cache Sub-Block NMOS Sleep Transistor V SS Normal SRAM sub-block block leakage Sleep transistors shut off leakage in inactive sub-blocks blocks >3x SRAM leakage reduction with use of sleep transistors Power Saving Feature 47

48 The power challenge Silicon Scaling Continues to Improve Density, Performance, Power, Cost Mobile CPUs Pentium M processor Frequency Transistors Die size L2 cache Thermal design power 130 nm (Banias) 1.7 GHz 77 million 83 mm 2 1 MB 24.5 W 90 nm (Dothan) 2.1 GHz 140 million 87 mm 2 2 MB 21 W Source: Intel 48

49 The power challenge Sleep Transistors Reduce ALU Leakage V cc external PMOS underdrive V cc Sleep transistors PMOS Sleep Body Bias ALU Body Bias PMOS overdrive V ss Virtual V cc Dynamic ALU 32 Scan Scan FIFO Sleep ALU Scan out Control Sleep transistor and body bias control ALU core NMOS overdrive V cc Virtual V ss Scan capture control Body bias 37X leakage reduction demonstrated on test chip NMOS underdrive V ss 3-bit A/D V ss external 49 Source: ISSCC 2003, Paper 6.1

50 The power challenge Advances in Power Efficient Design Power (W) Cache Switch Cache Igate Cache Ioff Core Switch Core Igate Core Ioff From ISSCC 2005 Paper 10.1 The Implementation of a 2-core 2 Multi-Threaded Itanium TM Family Processor Using prior design techniques IO bias DCAP lkg With new power reduction techniques 50

51 Business Critical Features 1MB L2I mm 2-Way Multi- Threading 1.72 Billion transistors Dual Cores Foxton Power Controller 21.5 mm Soft Error Detection and Correction 2 X 12MB L3 Caches with Pellston 51

52 The power challenge Silicon Scaling Continues to Improve Density, Performance, Power, Cost Server CPUs 130 nm 90 nm Madison Montecito Cores/Threads 1/1 2/4 Transistors Billion L3 Cache 6 24 MByte Frequency 1.5 >1.7 GHz Relative Performance 1 >1.5x Thermal Design Power 130 ~100 Watt Source: Intel 52

53 The power challenge Dual Core Cache Cache Core Core Core Voltage = 1 Freq = 1 Power = 1 Perf = 1 Voltage = -15% Freq = -15% Power = 1 Perf = ~1.8 Figures are for illustrative purposes only; actual results may vary 53

54 The power challenge Multi-Core Cache Large Core Power 4 Performance Small 1 1 Core Power = 1/4 Performance = 1/2 1 1 C1 C3 Cache C2 C Multi-Core: Power efficient Better power and thermal management 54

55 The power challenge Performance and Power Efficiency Increase with Parallel Architecture 100 Relative processor performance* (constant power 10 envelope) SINGLE-CORE DUAL/MULTI-CORE 10X 1 3X FORECAST *Average of SPECInt2000 and SPECFP2000 rates for Intel desktop processors vs initial Intel Pentium 4 Processor 55 Source: Intel

56 Agenda Moore s s Law and scaling The power challenge Looking ahead 56

57 Future High-k k Dielectric Will Reduce Gate Leakage Gate 1.2nm SiO 2 Silicon substrate Gate capacitance Gate dielectric leakage High-k k vs. SiO 2 60% greater > 100x reduction Gate 3.0nm High-k Silicon substrate Benefit For Future Implementation Faster transistors Lower power 57

58 Gate Dielectric Scaling (High-K) um Gate Dielectric Thickness (nm) Generation.25um.18um K=3XK D K=5XK D.13um 90nm 1.2 nm Thinner equivalent gate oxide increases transistor performance 58

59 Continuation of Moore s s Law Intel Intel found a solution for for High-k and and metal gate gate Process Name P856 P858 Px60 P1262 P1264 P1266 P1268 P1270 1st Production Process Generation 0.25µm 0.18µm 0.13µm 90 nm 65 nm 45 nm 32 nm 22 nm Wafer Size (mm) / Inter-connect Al Al Cu Cu Cu Cu Cu? Channel Si Si Si Strained Si Strained Si Strained Si Strained Si Strained Si Gate dielectric SiO 2 SiO 2 SiO 2 SiO 2 SiO 2 High-k High-k High-k Gate electrode Poly- silicon Poly- silicon Poly- silicon Poly- silicon Poly- silicon Metal Metal Metal Potential candidate for introduction Subject to change Source: 59 Intel

60 Looking ahead Nanotechnology Hallmarks Structures measured in nanometers Less than 0.1-micron (100nm) New processes, materials, device structures Incrementally changing silicon technology base Materials manipulated on atomic scale In one or more dimensions Increasing use of self-assembly Using chemical properties to form structures Nanotechnology innovations will extend silicon technology and Moore s s Law 60

61 Surrounding the Semiconductor Source Metal Gate Insulator Drain Source Drain Drain Gate Source Drain Source Gate BOX Si fin - Body! FinFET Tri-Gate 61

62 Tri-gate Transistor works in Three Dimensions Planar CMOS Gate Tri-Gate Gate 1 SiO 2 SiO 2 Gate 2 Gate 3 Silicon Substrate Buried Oxide 62

63 New Device Architecture Tri-gate L g Si T Si (Planar) Planar fully depleted SOI Isolation T Si L g W Si L g W Si Double-gate (e.g. FINFET) (Non-Planar) T Si Most Manufacturable Tri-gate (Non-Planar) 63

64 Tri-Gate Transistor: A A template for the future GATE DRAIN GATE SOURCE DRAIN SOURCE Source: Intel CHANNEL- Si, nanotubes, nanowires Technical details presented at: ISSDM Conference, Japan, Sept 17,

65 Nano-Device Structure Evolution Conventional Planar Transistor Tri-gate Transistor Gate SiO2 SiO2 Fully-Surround Gate Transistor Gate Improved Electrostatics Best Electrostatics and Scalability Improving electrostatics optimizes power consumption *Third party marks and brands are the and property of performance their respective owners 65

66 Semiconductor Nanowires 5nm Si Nanowire Chemically synthesized silicon nanowires with diameters <20nm (not defined by lithography). 2.0 nm High-K Metal Gate Si Nanowire Source: Intel 66

67 Carbon Nanotube Tutorial Metal R r Semiconductors Semiconductor Rolled-up graphene sheet(s) Roll-up vector determines electronic properties of tubes metallic semiconducting Dimensions: 1-25nm depending on how they are form. 67

68 Carbon Nanotube Transistor Drain Carbon Nanotube - D = 1.4 nm Source L g = 75 nm Gate Source: Intel Chemically synthesized semiconducting nanotubes with diameter=2nm form the transistor channel. 68

69 Energy-delay product for PMOS CNT shows promise! 69

70 Looking ahead Compound Semiconductor (lll( lll-v) Transistors Source Gate Source: Intel Drain Source Multi epitaxial layers Research transistor based on multi-epitaxial layer structure in compound semiconductors. 70

71 Looking ahead CMOS to continue for years or more; Moore s s Law could be extended indefinitely via new architectures, heterogeneous integration, 3D Transistor Speed Power Consumption 0.2um InSb 0.2um NMOS (standard transistor) 0.2um InSb 0.2um NMOS (standard transistor) III/V is a 2015 Transistor option 3x faster or 10x lower power Integration with silicon key 71

72 Energy-delay product for NMOS III-V transistors show promise! 72

73 Transistor Scaling & Roadmap 90nm Node nm Node P nm Node 2005 P nm Length (Production) 30nm 30nm Length (Development) Uniaxial Strain SiGe S/D 20nm Length (Development) Source Drain Source High-K/ Metal-Gate 32nm Node P nm 25 nm 15nm Length (Research) Source Gate Drain 22nm Node P L G = 10nm 10nm Length (Research) Silicon Body S G D C-nanotube Prototype (Research) Non-planar Tri-Gate Architecture III-V 5 nm III-V Device Prototype (Research) Nanowire Prototype (Research) 73 5nm

74 Moore s Law Moore s s Law Today Moore s s Law = Doubling of components at fixed intervals while reducing production cost/component Moore's Law is alive and well CMOS has been an important enabler of Moore s s Law Moore's Law may go on indefinitely, and extend beyond traditional CMOS End of CMOS Scaling End of Moore s s Law 74

75 Looking ahead Transistors/Die Moore s s Law Will Outlive CMOS 10µm 13 Bipolar PMOS NMOS CMOS Voltage Scaling Data (Moore) Memory Microprocessor Kilo Xtor 1µm 100nm 10nm Mega Xtor Pwr Eff Scaling Giga Xtor New Nano- structures Beyond CMOS? Spin based? Molecular? Other? Tera Xtor 75

76 Expanding Moore s s Law Sensors EXPANDING EXPANDING Optical Biological 25 nm 15nm Nano Fluidics 50nm Prototype (IEDM2002) 15nm Prototype (IEDM2001) 10nm Prototype (DRC 2003) Mechanical Wireless Silicon manufacturing infrastructure will enable innovation 76

77 Heterogeneous Integration of Alternative Technologies Courtesy of European Nanotechnology Roadmap 77

78 Summary Scaling (Geometrical->Equivalent >Equivalent->Innovative-> > Power efficient_> New nanostructures-> > Beyond CMOS) will continue, facilitated by the availability of more knobs (e.g., high mobility, high-k, low-k, thin body, multi-gates, CNT, new devices, etc) well into the next decade and beyond Intel is taking a holistic approach to reducing power Silicon level Architectural/micro-architectural: architectural: parallelism everywhere Platform, software Intel continues to observe the principles of Moore s Law Thoroughly evaluating technology options Ensuring optimum performance/power benefits and costs Delivering best value on our products/platforms Moore s s Law will continue beyond CMOS 78

79 Please fill out the Session Evaluation Form. Thank You! 79

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

ISSCC 2003 / SESSION 1 / PLENARY / 1.1 ISSCC 2003 / SESSION 1 / PLENARY / 1.1 1.1 No Exponential is Forever: But Forever Can Be Delayed! Gordon E. Moore Intel Corporation Over the last fifty years, the solid-state-circuits industry has grown

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel Paolo A. Gargini Director Technology Strategy Intel Fellow 1 Agenda 2-year cycle Copy Exactly Conclusions 2 I see no reason

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 3 Ch.1 The Evolution of The Microprocessor 17-Feb-15 1 Chapter Objectives Introduce the microprocessor evolution from transistors to

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Microprocessor Design in the Nanoscale Era

Microprocessor Design in the Nanoscale Era Microprocessor Design in the Nanoscale Era Stefan Rusu Senior Principal Engineer Intel Corporation IEEE Fellow stefan.rusu@intel.com 2012 Stefan Intel Rusu Corporation July 2012 1 Agenda Microprocessor

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY S. M. SZE National Chiao Tung University Hsinchu, Taiwan And Stanford University Stanford, California ELECTRONIC AND SEMICONDUCTOR INDUSTRIES

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? HPEC Workshop 2006 New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? Craig L. Keast, Chenson Chen, Mike Fritze, Jakub Kedzierski, Dave Shaver HPEC 2006-1 Outline A brief history

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Page 1 Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Robert S. Chau, Intel Senior Fellow Copyright Intel Corporation 2006. *Third-party brands and names are the

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Lecture Wrap up. December 13, 2005

Lecture Wrap up. December 13, 2005 6.012 Microelectronic Devices and Circuits Fall 2005 Lecture 26 1 Lecture 26 6.012 Wrap up December 13, 2005 Contents: 1. 6.012 wrap up Announcements: Final exam TA review session: December 16, 7:30 9:30

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future Page 1 Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future Robert S. Chau Intel Fellow, Technology and Manufacturing Group Director, Transistor Research Intel Corporation

More information

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Amit Verma Assistant Professor Department of Electrical Engineering & Computer Science Texas

More information

VLSI: An Introduction

VLSI: An Introduction Chapter 1 UEEA2223/UEEG4223 Integrated Circuit Design VLSI: An Introduction Prepared by Dr. Lim Soo King 02 Jan 2011. Chapter 1 VLSI Design: An Introduction... 1 1.0 Introduction... 1 1.0.1 Early Computing

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Indian Institute of Technology Jodhpur, Year 2015 2016 Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction Course Instructor: Shree Prakash Tiwari, Ph.D. Email: sptiwari@iitj.ac.in

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Lecture 1 Introduction to Solid State Electronics

Lecture 1 Introduction to Solid State Electronics EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 1 Introduction to Solid State Electronics Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

Part II: The MOS Transistor Technology. J. SÉE 2004/2005

Part II: The MOS Transistor Technology. J. SÉE 2004/2005 Part II: The MOS Transistor Technology J. SÉE johann.see@ief.u-psud.fr 2004/2005 Lecture plan Towards the nanotechnologies... data storage The data processing through the ages MOS transistor in logic-gates

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

SPECIAL REPORT SOI Wafer Technology for CMOS ICs SPECIAL REPORT SOI Wafer Technology for CMOS ICs Robert Simonton President, Simonton Associates Introduction: SOI (Silicon On Insulator) wafers have been used commercially as starting substrates for several

More information

LOW LEAKAGE CNTFET FULL ADDERS

LOW LEAKAGE CNTFET FULL ADDERS LOW LEAKAGE CNTFET FULL ADDERS Rajendra Prasad Somineni srprasad447@gmail.com Y Padma Sai S Naga Leela Abstract As the technology scales down to 32nm or below, the leakage power starts dominating the total

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information