Scaling and Beyond for Logic and Memories. Which perspectives?

Size: px
Start display at page:

Download "Scaling and Beyond for Logic and Memories. Which perspectives?"

Transcription

1 September 26 th, 2012 Minatec, Grenoble - France ISCDG 2012, Short Course Scaling and Beyond for Logic and Memories. Which perspectives? Hiroshi Iwai and Barbara de Salvo Frontier Research Center, Tokyo Institute of Technology CEA-LETI 1

2 September 26 th, 2012 Minatec, Grenoble - France ISCDG 2012, Short Course Part I Scaling and Beyond for Logic 2

3 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 3

4 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 4

5 Planar to Multi-gate, New high-k Off-leakage is the obstacle for downsizing. Solutions 1. New channel structure G G G G G Fin Tri-gate Ω-gate All-around 2. Thinning gate oxide New high-k 5

6 More Moore to More More Moore Technology node 65nm 45nm 32nm Now 22nm Future 15nm, 11nm, 8nm, 5nm, 3nm L g 35nm L g 30nm Si Planar Tri-Gate Si channel Main stream (Fin,Tri, Nanowire) Si is still main stream for future!! Alternative (ETSOI) ET: Extremely Thin M. Bohr, pp.1, IEDM2011 (Intel) P. Packan, pp.659, IEDM2009 (Intel) C. Auth et al., pp.131, VLSI2012 (Intel) T. B. Hook, pp.115, IEDM2011 (IBM) S. Bangsaruntip et al., pp.297, IEDM2009 (IBM) Others Alternative (III-V/Ge) Channel FinFET Emerging Devices 6

7 45nm EOT:1nm Hf-based oxides EOT=0.9nm HfO 2 /SiO 2 (IBM) 32nm EOT:0.95nm High-k k gate dielectrics SiO 2 IL (Interfacial Layer) is used at Si interface to obtain good mobility TiN HfO 2 SiO 2 Si 22nm EOT:0.9nm 15nm, 11nm, 8nm, 5nm, 3nm, Technology for direct contact of high-k and Si is necessary MG EOT=0.52 nm Remote SiO 2 -IL scavenging HfO 2 (IBM) EOT=0.37nm EOT=0.40nm EOT=0.48nm K. Mistry, et al., p.247, IEDM 2007, (Intel) T.C. Chen, et al., p.8, VLSI 2009, (IBM) T. Ando, et al., p.423, IEDM2009, (IBM) T. Kawanago, et al., T-ED, vol. 59, no. 2, p. 269, 2012 (Tokyo Tech.) K. Kakushima, et al., p.8, IWDTF 2008, (Tokyo Tech.) La-silicate Si nm Increase of I d at 30% Direct contact with La-silicate (Tokyo.Tech) 7

8 I ON and ON I benchmark OFF NMOS Intel [1] Bulk 32nm V DD =0.8V Intel [1] Tri-Gate 22nm V DD =0.8V Intel [2] Bulk 45nm V DD =1V PMOS Intel [1] Bulk 32nm V DD =0.8V Intel [2] Bulk 45nm V DD =1V Intel [1] Tri-Gate 22nm V DD =0.8V I OFF [na/µm] IBM [5] GAA NW V DD =1V 1 Samsung [3] Bulk 20nm V DD =0.9V Toshiba [4] Tri-Gate NW V DD =1V IBM [6] FinFET 25nm V DD =1V STMicro. [8] GAA NW V DD =0.9V Tokyo Tech. [9] Ω-gate NW V DD =1V IBM [7] ETSOI V DD =0.9V IBM [7] ETSOI V DD =1V STMicro. [8] GAA NW V DD =1.1V I ON [ma/µm] I OFF [na/µm] Samsung [3] Bulk 20nm V DD =0.9V IBM [7] ETSOI V DD =0.9V IBM [6] FinFET 25nm V DD =1V IBM [5] GAA NW V DD =1V IBM [7] ETSOI V DD =1V STMicro. [8] GAA NW V DD =1.1V I ON [ma/µm] [1] C. Auth et al., pp.131, VLSI2012 (Intel). [6] T. Yamashita et al., pp.14, VLSI2011 (IBM). [2] K. Mistry et al., pp.247, IEDM2007 (Intel). [7] A. Khakifirooz et al., pp.117, VLSI2012 (IBM). [3] H.-J. Cho et al., pp.350, IEDM2011 (Samsung). [8] G. Bidal et al., pp.240, VLSI2009 (STMicroelectronics). [4] S. Saitoh et al., pp.11, VLSI2012 (Toshiba). [9] S. Sato et al., pp.361, ESSDERC2010 (Tokyo Tech.) [5] S. Bangsaruntip et al., pp.297, IEDM2009 (IBM). 8

9 Comparison with ITRS Intel ITRS2007~ nm32nm 22nm V DD ITRS2007~ L g (nm) L 1.6 g ITRS2007 ITRS2009~ nm 45nm 32nm Intel EOT Year Bulk Planar Multi-Gate EOT (nm) V DD (V) nm 32nm Intel 22nm 45nm Intel Bulk Planar Multi-Gate 32nm V th 22nm Year Vth (V) K. Mistry et al., pp.247, IEDM2007 (Intel). P. Packan et al., pp.659, IEDM2009 (Intel). C. Auth et al., pp.131, VLSI2012 (Intel). 9

10 Benchmark of device characteristics Intel (IEDM2007, 2009) Intel (VLSI2012) Toshiba (VLSI2012) IBM (VLSI2012) Samsung (IEDM2012) IBM (IEDM2009) STMicro. (VLSI2008) Tokyo Tech (ESSDERC2010) Structure Bulk Planar 45nm 32nm Tri-Gate 22nm Tri-Gate NW ETSOI Bulk Planar GAA NW GAA NW Ω-gate NW 35/25 22/30 L g (nm) (nfet/pfet) (nfet/pfet) Gate Dielectrics Hf-based Hf-based SiO 2 HfO 2 HfO 2? Hf-based HfZrO 2 SiO 2 EOT (nm) ~ V th (V) ~0.4 ~0.3 ~ (nfet) 0.3~0.4 ~ ~0.4 ~ (nfet) V DD (V) I ON (ma/um) nfet/pfet DIBL (mv/v) nfet/pfet SS (mv/dec) 1.36/ / / (nfet) 1.65/ / / / (nfet) ~150 ~200 46/50 <50 75/ /115 65/105 56/ ~100 ~70 <80 < <

11 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 11

12 Tri-gate implementation for transistors C. Auth et al., pp.131, VLSI2012 (Intel) HP MP SP T OX,E (nm) L GATE (nm) I OFF (na/um) Tri-gate has been implemented at 22nm node, enabling further scaling 12

13 Tri-gate width/height optimization C. Auth et al., pp.131, VLSI2012 (Intel) PMOS channel under the gate S/D region showing the SiGe epitaxy A fin width of 8nm to balance SCE and R ext A fin height of 34nm to balance drive current vs. capacitance 13

14 Tri-gate I d -V g characteristics and V th C. Auth et al., pp.131, VLSI2012 (Intel) SS of 69 and 72mV/dec for NMOS and PMOS, respectively DIBL of 46 and 50mV/V for NMOS and PMOS, respectively V th of 22nm is about 0.1V lower than that of 32nm 14

15 Tri-gate I and ON I characteristics OFF C. Auth et al., pp.131, VLSI2012 (Intel) NMOS PMOS HP MP SP I ON (ma/um) NMOS/PMOS 1.26/ / /0.78 I OFF (na/um) I ON /I OFF of 10 5 ~10 6 I ON /I OFF ~10 5 ~10 6 ~

16 S. Saitoh et al., pp.11, VLSI2012 (Toshiba) Tri-Gate Nanowire L g = 14nm Tri-Gate NW High SCE immunity at L g of 14nm V th tuning by applying V sub at thin BOX of 20nm V sub 16

17 H.-J. Cho et al., pp.350, IEDM2011 (Samsung) Bulk Planar L g = 20nm bulk planar CMOS Gate last integration In-situ doped S/D for better SCE 17

18 Extremely Thin SOI (ETSOI) A. Khakifirooz et al., pp.117, VLSI2012 (IBM) RSD with silicide BOX Poly-Si Metal/high-k RSD with silicide ETSOI 6nm L g = 22nm ETSOI Si channel thickness of 6 nm DIBL of 75 mv/v and 130mV/V for NFET and PFET 18

19 Gate All Around Nanowire (GAA NW) S. Bangsaruntip et al., pp.297, IEDM2009 (IBM) L g = 25~35nm GAA NW Hydrogen anneal provide smooth channel surface Competitive with conventional CMOS technologies Scaling the dimensions of NW leads to suppressed SCE 19

20 Gate All Around Nanowire (GAA NW) G. Bidal et al., pp.240, VLSI2009 (STMicroelectronics) NiPtSi SiN HM Top Gate Channel Bottom Gate Gate all around structure L g of 22~30nm High drive currents by special stress and channel orientation design 20

21 S. Sato et al., pp.361, ESSDERC2010 (Tokyo Tech.) SiO 2 SiN NW SiO 2 L g =65nm Ω-gate Si Nanowire Poly-Si SiN I ON (ma/µm) 12 nm 19 nm 1.E-03 1.E E E E E E E E Drain Current (A) 1.E High drive current L g =65nm V d =-1V V d =-50mV pfet V d =1V V d =50mV nfet Gate Voltage (V) (1.32 I OFF =117 na/µm) DIBL of 62mV/V and SS of 70mV/dec for nfet 21

22 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 22

23 Problems in Multi-gate S. Bangsaruntip et al., pp.297, IEDM2009 (IBM), K. Tachi et al., pp.313, IEDM2009 (CEA-LETI) Decreasing the diameter of NW Improved short-channel control Severe mobility degradation 23

24 K. Uchida et al., pp.47, IEDM2002 (Toshiba) Problems in SOI Mobility is also decreased with decreasing the Si thickness of SOI transistor similar to the NW transistor. 24

25 EOT Scaling Trends K. Kim, pp.1, IEDM2010 (Samsung) EOT [nm] ITRS2011 Fin width Planar Trend 1 Trend 3? Multi- Gate Trend Body Thickness [nm] Year 2020 Wire/fin mitigates EOT thinning trend (Trend 1 Trend 2) Because of better SCE control. 0 However, I ON severely degrade with wire/fin width reduction Therefore, EOT trend will become accelerated again (Trend 2 Trend 3) Thus, high-k becomes important again. 25

26 Metal S/D Advantages of metal S/D - atomically abrupt junction - low parasitic resistance S - reduced channel dopant concentration Issues in metal S/D - two different φ B for p/n-ch FETs - underlap/overlap to the gate - narrow process temperature window BOX Si D Dopant Segregation layer L. Hutin, pp.45, IEDM2009 (CEA-LETI) Metal S/D is considered for alternative channel material such as InGaAs and Ge Ni is used both on InGaAs and Ge to form alloy. S.-H. Kim, IEDM (2010) 596 K. Ikeda, VLSI (2012)

27 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 27

28 Ge,III-V V bulk properties S. Takagi., IEDM2011, Short course (Tokyo Uni) 28

29 Multi-gate III-V V and Si benchmark I OFF (A/µm) InGaAs GAA L ch =50nm, Dielectric: 10nm Al 2 O 3 V DS =0.5V (Purdue Uni.) [1] Si FinFET 32nm Intel V DD =0.8V [10] InGaAs FinFET L ch =130nm EOT 3.8nm V DS =0.5V (NUS)[3] nmos InGaAs Tri gate L g =60 nm,eot 12A V DS =0.5V (Intel) [2] InGaAs Nanowire Lg= 200nm, T ox 14.8nm V DS =0.5V(Hokkaido Uni.)[4] Si FinFET 22nm Intel V DD =0.8V [10] Si bulk 45nm Intel V DD =1V[11] Metal S/D InGaAs OI L ch = 55nm, EOT 3.5nm V DS =0.5V(Tokyo Uni.)[5] GOI Tri gate L g : 65nm. EOT 3.0nm V D = 1V (AIST Tsukuba)[6] Ge FinFET L g =4.5 mm, Dielectric: SiON, V DS = 1V (Stanford Uni.)[7] Ge GAA L g = 300nm, dielectric: GeO 2 (7nm)-HfO 2 (10nm) V D = -0.8V (ASTAR Singapore)[8] Ge Tri gate L g =183nm, EOT 5.5nm V D = 1V (NNDL Taiwan)[9] pmos Si FinFET 22nm Intel V DD =0.8V [10] Si FinFET 32nm Intel V DD =0.8V [10] Si bulk 45nm Intel V DD =1V I ON (ma/µm) [1] J. J. Gu et al., pp.769, IEDM2011 (Purdue). [6] K. Ikeda et al., pp.165, VLSI2012 (AIST, Tsukuba). [2] M. Radosavljevic et al., pp.765, IEDM201(Intel). [7] J. Feng et al., IEEE EDL 28(2007)637 (Stanford Uni) [3] H. C. Chin et al., EDL 32, 2 (2011) (NUS) [8] J. Peng et al., pp.931, IEDM2009 (ASTAR Singapore) [4] K. Tomioka et al., pp.773, IEDM2011 (Hokkaido Uni). [9] S. Hsu et al., pp.825, IEDM2011 (NNDL Taiwan) [5] S. H. Kim et al., pp.177, VLSI2012 (Tokyo Uni) [10] C. Auth et al., pp.131, VLSI2012 (Intel). I ON (ma/µm) [11] K. Mistry et al., pp.247, IEDM2007 (Intel). 29

30 I ON /I OFF Benchmark of Ge pmosfet 30

31 III-V/Ge benchmark for various structures Planar (metal S/D, Strain, Buffer ) FinFET Tri gate Gate all around MOSFET Nanowire material InGaAs Ge InGaSn InGaAs Ge InGaAs Ge InGaAs Ge InGaAs (multishell) Ge Dieletric /EOT Al 2 O 3 / 3.5 nm 7.6 A o HfO 2 + Al 2 O 3 +GeO 2 5nm ALD Al 2 O 3 5nm ALD Al 2 O 3 SiON 1.2 nm 5.5 nm (Al 2 O 3 + GeO 2 ) 10nm ALD Al 2 O 3 HfO 2 : 11nm HfAlO 14.8 nm 3.0 nm (ALD Al 2 O 3 ) Mobility ~600 (cm 2 /Vs) N s : 5e12 e: 200 h: 400 (cm 2 /Vs) ~700 (µs/µm ) 701 (µs/µm) ~500 (µs/µm) ~850 (cm 2 /Vs) L ch (nm) 55 W/L= 30/5 µm 50 µm µm DIBL (mv/v) ~ SS (mv/dec) K 61pMOS 33nMOS 120K I ON (µa/µm) 278 (V D =0.5V) 3 (V D = 0.2V) 4 (n,p) (V D =0.5V) 10 (V D =0.5V) 400 (V D =0.5V) 235 (V D = 1V) 180 (V D =0.5V) 604 (V D = 0.5V) 100 (V D =0.5V) 731 (V D = 1V) Research Group Tokyo Uni VLSI 2012 Tokyo Uni VLSI 2012 Stanford Uni VLSI 2012 Purdue Uni IEDM 2009 Stanford Uni ELD 2007 Intel IEDM 2011 NNDL Taiwan IEDM 2011 Purdue Uni IEDM 2011 ASTAR Singapore IEDM 2009 Hokkaido Uni, IEDM 2011 AIST Tsukuba VLSI

32 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 32

33 InGaSb as channel material (stanford) Z. Yuan et al., pp.185, VLSI2012 (Stanford Uni) Hole Mobility Si InGaSb Electron Mobility InGaSb Si AlGaSb creates barrier for both electrons and holes Achieving both N and P type MOSFET on a single channel is possible In content of 20 40% improves perfomance electron/hole mobility > 4000/900cm 2 /Vs was gained in a single channel material I ON at L G = 50 µm pmos: 4 µa/µm nmos: 3.8 µa/µm 33

34 Metal S/D InGaAs MOSFET (Tokyo Uni) Metal S/D and InAs buffer layer are used as performance boosters. DIBL=84 mv/v and SS=105 mv/v was shown for L ch = 55 nm when In content was higher. S. H. Kim et al., pp.177, VLSI2012 (Tokyo Uni) 34

35 Common InGaAs GeSn gate stack (NUS) X. Gong, et al. (National Uni of Singapore), VLSI2012, p.99. V GS -V TH = 0 2.0V L G = 5µm Common gate stack (gate metal and dielectric) were used for both p and n type Si 2 H 6 plasma passivation is employed which creates Si layer at interface. SS: nmos: 90 (mv/decade) pmos: 190 (mv/decade) High intrinsic peak G M,Sat =of ~465 S/ m at V DS =-1.1 V was achieved for L G =250 nm. 35

36 InGaAs nanowire transistor(hokkaido Uni) T. Fukui, et al. (Hokkaido Univ), IEDM2011, p.773. Core-multishell InGaAs nanowires grown without buffer layer on Si substrate (bottom up approach) At V d = 1 V peak transconductance of 500 ms/mm is achieved (roughly x3 InGaAs nanowire) 36

37 Tri-gate InGaAs QW-FET(Intel) M. Radosavljevic, et al.(intel), IEDM2011, p.765. Tri-gate structure has superiority electrostatic controllability compared to ultra-thin body planar structure Steepest SS and smallest DIBL ever reported (W fin = 30nm) 37

38 Gate all around InGaAs MOSFET(Purdue) P. D. Ye, et al (Purdue Univ)., IEDM2011, p.769. W fin = 50nm W fin = 30nm Inversion mode In 0.53 Ga 0.47 As MOSFET with ALD Al 2 O 3 /WN with well electrostatic properties DIBL was suppressed down to L ch = 50nm and G m,max =701mS/mm at V ds = 1V 38

39 InGaAs FinFET (NSU) H.C. Chin, et al. (National Uni of Singapore)., EDL2011,Vol.32 p.146. L CH = 130nm DIBL =135 mv/v and drive current over 840 µa/µm at L ch = 130nm and V ds = 1.5V was achieved 39

40 Ge-nanowire pmosfet (AIST,Tsukuba) K. Ikeda, et al. (AIST, Tsukuba), VLSI2012, p.165. L g = 65nm W wire = 20nm V D = -1V V D = -0.5V V D = -0.05V V g -V th = -2V Using Ni-Ge alloy as metal S/D Significantly reduces contact resistance High saturation current and high mobility eff = 855 cm 2 /Vs at Ns =5x10 12 cm -2 and saturation drain current of 731 A/ m at V d = -1V 40

41 Ge triangular pmosfet (NNDL,Taiwan) S-H. Hsu, et al. (NNDL,Taiwan), IEDM2011, p L g >2W fin L g <2W fin Ge Rectangular Ge Triangular Selective etching of high defect Ge near Ge/Si interface is used which improves gate controllability. I ON /I OFF = 10 5 and SS= 130 mv/dec And I ON = 235 µm/µm at V D = -1V 41

42 Implementing high-k k material to III-V,Ge III-V (InGaAs, InAs,InGaSb, ) ALD-Al 2 O 3 is most commonly used as gate dielectric in planar or Multi-gate HfO 2 -only stacks have high D it (combination of Al 2 O 3 or Al or Si is used) Al 2 O 3 Si-HfO 2 Al 2 O 3 +HfO 2 HfAlO x TaSiOx 3.4 nm 1.2 nm In 0.7 Ga 0.3 As In 0.53 Ga 0.47 As E. Kim, et al., APL96, Ge By controlling the formation of GeOx at the interface, HfO 2 and Al 2 O 3 show good results. NUS, VLSI 2012 L. Chu, et al.,apl99, Hokkaido Uni, IEDM 2011 Intel, IEDM 2010 R. Zhang et al., VLSI2012,p161 42

43 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 43

44 Emerging devices(future scaling trends) Carbon based FET Carbon nanotube Graphene J. P. Colinge et al., Nature Nano. 5(2010)225 Junctionless Transistor A. D. Franklin et al., pp.525, IEDM2011 (IBM) Cut off frequency ( GHz) GaAs mhemt (20nm) F. Schwlerz, Nature Nano,Vol.5 p Gate length (nm) L. Liao, et al., Nature,Vol.467 p.305. SiMOSFET (29nm) GaAs phemt (100nm) CNT Graphene M. Lemme, Nanotech workshop,2012 All spin logic device J. P. Colinge et al., Nature Nano. 5(2010)266 Input and output related via Spin-coherent channel 44

45 Tunnel FET A. Seabaugh, IEDM 2011 Short Course (University of Notre Dame) K. Tomioka et al., pp.47, VLSI2012 (Hokkaido University) SS=21mV/dec V DS =1V Band to band tunneling HfAlO x V DS = 1V Gate Low I OFF, Low V DD, SS<60mV/decade 45

46 TFET vs. MOSFET at low V DD A. Seabaugh, IEDM 2011 Short Course (University of Notre Dame) V DD 0.3~0.35V TFET 8x faster at the same power parameter variation is not a significant factor for differentiation between MOSFET and TFET 46

47 Tunnel FET (Si) A. Villalon, pp.49, VLSI 2012 (CEA-LETI) X in Si 1-x Ge x is optimized to allow for efficient BTBT L G = 200nm I ON /I OFF ~10 5 Reducing SiGe Body thickness improves Subthreshold swing. 130mV/dec Gate Voltage (V) 190mV/dec 47

48 K. Tomioka et al., pp.47, VLSI2012 (Hokkaido University) SS=110mV/dec SS=21mV/dec V DS =1V Tunnel FET (III-V) HfAlO x Gate Conventional FET limit SS= 60 mv/dec V DS = 1V NW Diameter= 30nm SS of TFET is function of V G due to Zener tunnel current Minimum SS= 21 mv/dec is reached due to optimized series resistance of contact, undoped InAs and InAs/Si I ON /I OFF ~10 6 at V DS = 1.0V (I ON = 1Aµ/µm) 48

49 Device structure A. Seabaugh, IEDM 2011 Short Course (University of Notre Dame) K. Tomioka et al., pp.47, VLSI2012 (Hokkaido University) 49

50 Tunnel FET performance comparison A. Seabaugh, IEDM 2011 Short Course (University of Notre Dame) measured III-V channel TFETs S MIN : S EFF : Most common SS which is the inverse of I D -V GS slope at the steepest part Is the average swing when V TH =V DD /2 V OFF =0 I th I D I OFF V off V TH Average SS: V OFF =0 V TH =V DD /2 Effective SS: V GS 50

51 I ON and ON I of OFF TFETs [1] G. Zhou et al., pp. 782, vol. 33, no. 6, EDL 2012 (University of Notre Dame) I OFF [na/µm] TFET V DS =0.75V TFET V DS =1.05V TFET V DS =1V Intel Bulk 32nm V DD =0.8V Si MOSFET Intel Bulk 45nm V DD =1V Intel Tri-Gate 22nm V DD =0.8V I ON [ma/µm] C. Auth et al., pp.131, VLSI2012 (Intel). K. Mistry et al., pp.247, IEDM2007 (Intel). 51

52 Mechanical Switch: MEMS relay ON-state resistance [Ohm] Number of Operation Cycles Frequency of 1, 5, 25kHz under operation I ON /I OFF of ~10 10 Ultra-low-power digital logic applications. T. K. Liu et al., pp. 43, VLSI2012 (UC Berkeley) 52

53 Nanowire Junctionless Transistor J. P. Colinge et al., Nature Nano. 5(2010)225 Junctionless S D Conventional S D n + n n + n + p n + Lg= 1µm no junction pn junction 10nm 30.5nm Lg= 1µm W wire = 30nm Silicon nanowire is uniformly doped Gate material is opposite polarity polysilicon 53

54 Si Junctionless Transistor (Intel) R. Rios et al., EDL. 32(2011)1170 (Intel) L g (nm) L g (nm) L g (nm) IM : Conventional Inversion Mode JAM LD : Janctionless Accumulation Mode with low dope JAM HD : Janctionless Accumulation Mode with high dope JAM devices have reduced gate control and degraded shortchannel characteristics relative to IM Not suitable for high-performance logic (high I on and moderate I off ) 54

55 Carbon nanotube and Graphene K. Banerjee, UC Santa Barbara, G-COE PICE International Symposium on Silicon Nano Devices in 2030 SWCNT : single wall carbon nanotube GNR : graphene nano ribbon Carbon materials for FET applications an ultra-thin body for aggressive channel length scaling excellent intrinsic transport properties 55

56 Sub-10nm carbon nanotube transistor A. D. Franklin et al., pp.525, IEDM2011 (IBM) Transistor operation with L ch of 9nm 56

57 Graphene Field-effect effect Transistor Z. Chen et al., pp.509, IEDM2008 (IBM) J. B. Oostinga et al., Nature Materials 7 (2008) 151 Ambipolar Characteristics Bi-layer graphene and double gates can open the gap I off is very large No bandgap 57

58 Spin transfer Torque Switching MOSFET T. Marukame et al., pp.215, IEDM2009 (Toshiba) Magnetic tunnel junction on S/D L g = 1µm Read/write are enabled by using ferromagnetic electrodes and Spin-polarized current 58

59 Summary of Emerging Technology pro/cons Advantage Issues TFET Lower V dd Lower I OFF Lower I ON CNT FET Higher transport velocity Low density and alignment, reproducibility, integration Graphene FET RF application Huge I OFF MEMS Extremely low leakage Ultra-low digital logic Endurance Slow speed, scalability Junctionless FET CMOS process compatibility Worse gate control in short-channel Spin FET Low power, suitable for memory (nonvolatile info storage) Low efficiency of spin injection 59

60 More Moore approach Technology benchmark Advance Si-based CMOS devices and technologies Challenges Alternative channel material devices Technology benchmark III-V, Ge-based devices Emerging technologies (Tunnel FET, Junctionless FET, Carbon-based FET, MEMS, Spin-based Logic) Conclusions Outline 60

61 Conclusions for logic Si based CMOS is still the mainstream for downsizing until sub-10 nm. New structure (fin, try, nanowire-gate, or ET-SOI). New materials for high-k gate, and metal S/D. Alternative channel device needs more time to catch up Si. Fin, try, nanowire-gate structures become popular. High-k gate needs to be improved. Emerging device technologies are still in research level. 61

62 Acknowledgement I would like to express appreciation to Dr. Takamasa Kawanago and Mr. Darius Zade of Tokyo Institute of Technology for the material preparation. 62

63 Appendix 63

64 FinFET(Tri-Gate Transistors) C. Auth et al., pp.131, VLSI2012 (Intel) HP MP SP Steep SS low DIBL T OX,E (nm) L GATE (nm) I OFF (na/um) Improved I on (strain, HK/MG)

CMOS Logic Technology IEEE EDS DL

CMOS Logic Technology IEEE EDS DL IIT-bombay, Tutorial CMOS Logic Technology IEEE EDS DL January 24, 2013 IIT-Bombay, Mumbai, India Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 More Moore approach Technology benchmark

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Future of nano CMOS Technology

Future of nano CMOS Technology Future of nano CMOS Technology January 9, 2013 IEEE EDS DL@VIT, Vellore, India Hiroshi Iwai, Tokyo Institute of Technology 1 1900 Electronics started. Device: Vacuum tube Device feature size: 10 cm Major

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

#2653 Introduction of New Materials into CMOS Devices

#2653 Introduction of New Materials into CMOS Devices #2653 Introduction of New Materials into CMOS Devices ESC Symp on Purity Silicon(E6) 8:30 9:00 am, October, 10, 2012 @Rm 320, Level 3, Hawaiian Convention Center, Honolulu, Hawaii Hiroshi Iwai Frontier

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 2, 2014, At IISc Bangalore Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 1. Back ground for nano-cmos 2 First Computer Eniac: made of huge

More information

Future of Nano CMOS Technology

Future of Nano CMOS Technology May 26, 2014, IEEE EDS MQ at KTH, Kista, Stockholm, Sweden Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

InGaAs Nanoelectronics: from THz to CMOS

InGaAs Nanoelectronics: from THz to CMOS InGaAs Nanoelectronics: from THz to CMOS J. A. del Alamo Microsystems Technology Laboratories, MIT IEEE International Conference on Electron Devices and Solid-State Circuits Hong Kong, June 3, 2013 Acknowledgements:

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

New Materials and Structures for Sub-10 nm CMOS Devices

New Materials and Structures for Sub-10 nm CMOS Devices May 18, 2014, At Fudan University, Shanghai, China New Materials and Structures for Sub-10 nm CMOS Devices Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture Nano CMOS Technology September 15, 2014 IEEE EDS Distinguished Lecture @Universidad Santo Tomas in Tunja, Colombia Hiroshi Iwai, Tokyo Institute of Technology 1 Outline 1. Introduction 2. Current status

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies J. A. del Alamo Microsystems Technology Laboratories, MIT ESSDERC-ESSCIRC 2013 Bucharest, Romania, September 16-20, 2013 Acknowledgements:

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

Future of Nano CMOS Technology

Future of Nano CMOS Technology July 4, 2014, MQ, Dalian, China Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature Size / Technology Node (1970)

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 20,2014, DL Talk@ IIT-Bombay, Mumbai, India Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 1900 Electronics

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

InGaAs MOSFET Electronics

InGaAs MOSFET Electronics InGaAs MOSFET Electronics J. A. del Alamo Microsystems Technology Laboratories, MIT The 17 th International Symposium Physics of Semiconductors and Applications Jeju, Korea, December 7-11, 2014 Acknowledgements:

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology April 7,2014, at INAOE, Puebla, Mexico Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature Size / Technology

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology January 20,2014, DL Talk at URV (Universitat Rovira i Virgili), Tarragona, Spain Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

III-V Channel Transistors

III-V Channel Transistors III-V Channel Transistors Jesús A. del Alamo Professor Microsystems Technology Laboratories MIT Acknowledgements: Students and collaborators: D. Antoniadis, J. Lin, W. Lu, A. Vardi, X. Zhao Sponsors: Applied

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.

Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0. Vertical InAs/GaAsSb/GaSb tunneling field-effect transistor on Si with S = 48 mv/decade and Ion = 10 A/m for Ioff = 1 na/m at VDS = 0.3 V Memisevic, E.; Svensson, Johannes; Hellenbrand, Markus; Lind, Erik;

More information

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design 9/25/2002 Jun Yuan, Peter M. Zeitzoff*, and Jason C.S. Woo Department of Electrical Engineering University

More information

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Miniaturization and future prospects of Si devices

Miniaturization and future prospects of Si devices Miniaturization and future prospects of Si devices G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World s Leading Scientists October 4, 2011

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach

Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Vertical Nanowire InGaAs MOSFETs Fabricated by a Top-down Approach Xin Zhao, Jianqiang Lin, Christopher Heidelberger, Eugene A. Fitzgerald and Jesús A. del Alamo Microsystems Technology Laboratories, MIT

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

In principle, the high mobilities of InGaAs and

In principle, the high mobilities of InGaAs and 114Conference report: IEDM part 2 Meeting the challenge of integrating III-Vs with deep submicron silicon High-mobility devices based on indium gallium arsenide (InGaAs) channels could benefit the performance

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel

Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel Performance Analysis of 20 nm Pentagonal and Trapezoidal NanoWire Transistor with Si and Ge Channel SANDEEP SINGH GILL 1, JAIDEV KAUSHIK 2, NAVNEET KAUR 3 Department of Electronics and Communication Engineering

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

SOI opportunities. to speed up, save energy and memorize. Sorin Cristoloveanu

SOI opportunities. to speed up, save energy and memorize. Sorin Cristoloveanu SOI opportunities to speed up, save energy and memorize Sorin Cristoloveanu Institute of Microelectronics, Electromagnetism and Photonics MINATEC, Grenoble, France Many thanks to: K-I. Na, W. Van Den Daele,

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology June 2, 2008 @National Technical University of Athens Hiroshi Iwai, Toyo Institute of Technology Needless

More information

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1 International Journal of Technology (2017) 1: 168-176 ISSN 2086-9614 IJTech 2017 DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET Sanjay S. Chopade 1*, Dinesh V. Padole 1 1 Department of Electronics

More information

Performance Analysis of InGaAs Double Gate MOSFET

Performance Analysis of InGaAs Double Gate MOSFET Performance Analysis of InGaAs Double Gate MOSFET Ms. Karthika Rani P, Ms. Kavitha T Abstract-Technological improvements have been made due to the scaling of device dimensions in order to attain continuous

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 8, AUGUST

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 8, AUGUST IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 8, AUGUST 2016 3049 Demonstration of Ge Nanowire CMOS Devices and Circuits for Ultimate Scaling Heng Wu, Student Member, IEEE, Wangran Wu, Mengwei Si,

More information

MOS Capacitance and Introduction to MOSFETs

MOS Capacitance and Introduction to MOSFETs ECE-305: Fall 2016 MOS Capacitance and Introduction to MOSFETs Professor Peter Bermel Electrical and Computer Engineering Purdue University, West Lafayette, IN USA pbermel@purdue.edu 11/4/2016 Pierret,

More information

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction

Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor With Si 0.8 Ge 0.2 /Si Heterojunction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Vertical Nanowire Gate-All-Around p-type Tunneling Field-Effect Transistor

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information