Future of Nano CMOS Technology

Size: px
Start display at page:

Download "Future of Nano CMOS Technology"

Transcription

1 July 4, 2014, MQ, Dalian, China Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1

2 Back ground for nano-electronics 2

3 Feature Size / Technology Node (1970) 10 μm 8 μm 6 μm 4 μm 3 μm 2 μm 1.2 μm 0.8 μm 0.5 μm 0.35 μm 0.25 μm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm (28 nm ) 22 nm(2012) 14 nm (2014) From 1970 to 2013 (Last year) 43 years 1 generation 18 generations 2.5 years Line width: 1/450 Line width: 1/1.43 = 0.70 Area: 1/200,000 Area: 1/2 = 0.5 3

4 Importance of nano-cmos 4

5 First Computer Eniac: made of huge number of vacuum tubes 1946 Big size, huge power, short life time filament dreamed of replacing vacuum tube with solid-state device Today's pocket PC made of semiconductor has much higher performance with extremely low power consumption 5

6 1960: First MOSFET by D. Kahng and M. Atalla Top View Al SiO 2 Si Si/SiO2 Interface is extraordinarily good 6

7 1970,71: 1st generation of LSIs DRAM Intel 1103 MPU Intel

8 In 2012 Most Recent SD Card 128GB (Bite) = 128G X 8bit = 1T(Tera)bit 1T = = 1Trillion World Population:7 Billion Brain Cell:10~100 Billion Stars in Galaxy:100 Billion 8

9 128 GB = 1Tbit 2.4cm X 3.2cm X 0.21cm Volume:1. 6cm³ Weight:2g Voltage: V Old Vacuum Tube: 5cm X 5cm X 10cm, 100g, 50W What are volume, weight, power consumption for 1Tbit 9

10 Old Vacuum Tube: 5cm X 5cm X 10cm 1Tbit = 10,000 X 10,000 X 10,000 bit Volume = (5cm X 10,000) X (5cm X 10,000) X (10cm X 10,000) = 0.5km X 0.5km X 1km Pingan Intenational Indian Tower Finance Center Mumbai, India Shanghai, China (Year 2016) (Year 2016) Burji Khalifa Dubai, UAE (Year 2010) 500 m 700 m 700 m 828 m 1Tbit 1,000 m 10

11 Old Vacuum Tube: 50W 1Tbit = bit Power = 0.05kWX10 12 =50 TW Nuclear Power Generator 1MkW=1BW We need 50,000 Nuclear Power Plant for just one 128 GB memory In Japan we have only 54 Nuclear Power Generator Last summer Tokyo Electric Power Company (TEPCO) can supply only 55BW. We need 1000 TEPCO just one 128 GB memory Imagine how many memories are used in the world! 11

12 So progress of integrated circuits is extremely important for power saving. 12

13 Brain: Integrated Circuits Ear, Eye:Sensor Mouth:RF/Opto device Stomach:PV device Hands, Legs:Power device 13

14 Near future smart-society has to treat huge data. Demand to high-performance and low power CMOS become much more stronger. 14

15 Semiconductor Device Market will grow 5 times in 12 years, even though, it is very matured market!! B USD 1,500B USD Gartner: By K. Kim, CSTIC

16 Recent situation for nano-cmos 16

17 Ballistic conduction will not happen even decreasing channel lengh. L source drain :Mean free path L Diffusive transport L ~ Quasi-Ballistic transport L Ballistic transport Ballistic transport will never happen for MOSFET because of back scattering at drain Mobility Theory With decreasing channel length, Drain current increase continue. Real nanoscale MOSFETs Back scattering from drain 一次元バリスティック伝導 Also, 1D quantum conduction, or ballistic conduction will not happen. (1D quantum conduction: 77.8mS regardless of the length and material). 17

18 Then, now!. Noticed that the technology is difficult. Development of EUV (Extreme Ultra Violet) lithography delayed significantly. %253A%3B6JNTCrv867Tq0M%3Bhttp%253A%252F%252Ftechon.nikkeibp.co.jp%252Farticle%252FNEWS%252F %252F122347%252FEUV.jpg%3Bhttp%253A%252F%252 Ftechon.nikkeibp.co.jp%252Farticle%252FNEWS%252F %252F122347%252F%253FSS%253Dimgview_scr%2526FD%253D %3B750%3B562 18

19 Noticed that the technology is difficult. In addition with the significant delay in EUV (Extreme Ultra Violet) lithography delayed significantly, - Reduction of the thickness of High-k gate oxide becomes very difficult. - Decreasing supply voltage becomes difficult because of subtreshold leakage and variability of thereshold voltage. 19

20 Now Technology development delayed. Shrink rate of gate length will become from 07 to 0.8 or Number of the semiconductor companies which can develop state of the art technology decreasing. In the past, technologies come with the purchase of equipment's But now, every companies are facing threat of dropping off, unless they concentrated on the development of technologies. Thus, technology development is becoming much important. 20

21 21 Question What is the problem for downsizing?

22 The problem for downsizing S and D distance small Ion & Ioff increase Ioff increase: Transistor cannot be turned-off. Ioff (Off-leakage current) between S and D 1. Punch-through between S and D 2. Direct-tunneling between S and D 3. Subthreshold current between S and D 22

23 1. Punch-through between S and D 23

24 Region governed by gate bias 0V Problem for downsizing Region governed By drain bias DL touch with S Region (DL) 0V 0V Source Channel Substrate 0V Gate metal Gate oxide 0V 1V Drain 0V < V dep <1V Depletion Region (DL) by Drain Bias No t ox. V dd thinning Large I OFF 0V Large I OFF 0V 1V 0V < V dep <1V (Electron current) 0V 0V V dd 0V V dd 0.5V t ox and V dd have to be decreased for better channel potential control I OFF Suppression 24

25 1. Punch-through between S and D There are 3 solutions to suppress the depletion layer A. Decrease supply voltage Very difficult as explained later B. Decrease tox to enhance the channel potential controllability by gate bias C. Gate/channel configuration change to enhance the channel potential controllability by gate bias Fin-FET, ET-SOI, etc. 25

26 t ox ( B.Decrease tox A. Toriumi (Tokyo Univ), IEDM 2006, Short Course ( 26

27 C. Configuration change for channel and gate structures for better control of channel potential. Fin-FET, ET-SOI, etc. 27

28 Extremely Thin (or Fully-Depleted) SOI - Make Si layer thin - Control channel potential also from the bottom 0V S 0V G 1V 0V S G 0V 0V 1V D Planar 0V <V<1V 0V SiO 2 Drain bias induced depletion Extremely thin Si Si G 0V ET (or FD) SOI 28

29 Surrounding gate structure (Multiple gates) - Make Si layer thin - Control channel potential also by multiple gates not only from top & bottom but maybe also from side 0V S 0V G 1V 0V S 0V G 0V Drain bias induced depletion 1V D Si fin or nanowire Planar 0V <V<1V 0V G 0V Multi gate 29

30 G New structures! Multi-gate structures G G G G G Fin Tri-gate Tri-gate (Variation) W-gate All-around 30

31 Our work at TIT: W-gate Si Nanowire S. Sato et al., pp.361, ESSDERC2010 (Tokyo Tech.) SiO 2 SiN NW SiO 2 L g =65nm Poly-Si SiN I ON (ma/mm) 12 nm 19 nm 1.E E E E E E E E E Drain Current (A) 1.E L g =65nm V d =-1V V d =-50mV pfet V d =1V V d =50mV nfet Gate Voltage (V) Conventional CMOS process High drive current (1.32 I OFF =117 na/mm) DIBL of 62mV/V and SS of 70mV/dec 31 for nfet

32 2. Direct-tunneling between S and D Wave function of electron penetrates the channel potential barriers by quantum mechanical physics, when the channel length is around 3 nm. 32

33 Energy or Potential for Electron Built-in potential between Source and Channel pn junction < 0.7 V When transistor is at off state 3 nm Tunneling distance Direct-tunnel current There is no solutions! Source Channel Drain Downsizing limit Lg = 3 nm. 33

34 3. Subthreshold current between S and D 34

35 Subtheshold leakage current of MOSFET Id Ion Subthreshould Leakage Current OFF ON Vg=0V Subthreshold region Vth (Threshold Voltage) Vg 35

36 Subthreshold leakage current I d (A/mm) I on Electron Energy Boltzmann statics 10-5 V d Exp (qv/kt) I off V th 0.15 V 0.5 V 1.0 V 0.3 V V g (V) Lg 1/2 Vd, Vg 1/2 Vth 1/2 However Ioff 10 3 in this example Because of log-linear dependence 36

37 Subtheshold leakage current of MOSFET Id Ion Subthreshold Current Is OK at Single Tr. level Subthreshould Leakage Current OFF ON But not OK For Billions of Trs. Vg=0V Subthreshold region Vth (Threshold Voltage) Vg 37

38 3. Subthreshold current between S and D Solution: however very difficult Keep Vth as high as possible - Do not decrease supply voltage, Vd However, punchthough enhanced - Suppress variability in Vth Thus, subthreshold current will limit the downsizing, especially for mobile devices 38

39 Operation Frequency (a.u.) The limit is deferent depending on application 100 e) 10 1 Subthreshold Leakage (A/mm) Source: 2007 ITRS Winter Public Conf. 39

40 How far can we go for production? Past 0.7 times per 2.5 years 10mm 8mm 6mm 4mm 3mm 2mm 1.2mm 0.8mm 0.5mm 0.35mm 0.25mm 180nm 130nm 90nm 65nm 45nm 32nm Now Future Limit depending on applications Subthreshold punchthrough Fundamental limit Direct-tunnel (28nm) 22nm 14nm 11.5 nm 8nm 5.5nm? 4nm? 2.9 nm? Intermediate node At least 4,5 generations to 8 ~ 5 nm 40

41 However, careful about the name of technology! Recently, Gate length (Lg) is much larger than the Technology name 22 nm Technology by Intel Lg (Gate length) = 30 nm (HP), 34 nm (MP), 34 nm or larger (SP) IEDM 2012, VLSI nm Technology by Global Lg (Gate length) = 25 nm Euro SOI nm Technology by Leti (FD-SOI) Lg (Gate length) = 15 nm

42 ITRS 2013 (Just published in April 2014) Year Commercial name (nm) Metal half pitch (nm) L g (nm) (L g for ITRS 2007) L g for low stand by power (nm) V dd (V) (V dd (V) for ITRS 2007) EOT (nm) (EOT (nm) for ITRS 2007) T Si (nm) (T Si (nm) for ITRS 2007) 20.2 (13) (0.90) 0.80 (0.60) 7.4 (6.0) (10) (8) (6) (5) (4.5 in 2022) (0.80) (0.70) (0.70) (0.65)(0.65 in 2022) (0.60) (0.55) (0.50) (0.50)(0.50 in 2022) (6.0) (4.5) (3.8) (3.2) (3.0 in 2022)

43 The rate for the shrinkage for the gate length and line pitch will be larger than 0.7 in near future, because of the subthreshold leakage, and also because of the delay in EUV lithography. As a result, we will have more technology generations until reaching the downsizing limit, and the time to reach the limit will be delayed.

44 How far can we go for production? Thus, we may go down to 1.5 nm technology node by choosing whatever gate length we want for the application. 44

45 More Moore to More More Moore Technology node Now Future 65nm 45nm 32nm 22nm 15nm, 11nm, 8nm, 5nm, 3nm L g 35nm L g 30nm (Fin,Tri, Nanowire) Si Planar Tri-Gate Si channel (ETSOI) 28nm Si is still main stream for future!! ET: Extremely Thin M. Bohr, pp.1, IEDM2011 (Intel) P. Packan, pp.659, IEDM2009 (Intel) C. Auth et al., pp.131, VLSI2012 (Intel) T. B. Hook, pp.115, IEDM2011 (IBM) S. Bangsaruntip et al., pp.297, IEDM2009 (IBM) Others Alternative (III-V/Ge) Channel FinFET Emerging Devices

46 45nm EOT:1nm Hf-based oxides EOT=0.9nm HfO 2 /SiO 2 (IBM) 32nm EOT:0.95nm Continued research and development High-k gate dielectrics SiO 2 IL (Interfacial Layer) is used at Si interface to realize good mobility TiN HfO 2 SiO 2 K. Mistry, et al., p.247, IEDM 2007, (Intel) T.C. Chen, et al., p.8, VLSI 2009, (IBM) T. Ando, et al., p.423, IEDM2009, (IBM) T. Kawanago, et al., T-ED, vol. 59, no. 2, p. 269, 2012 (Tokyo Tech.) K. Kakushima, et al., p.8, IWDTF 2008, (Tokyo Tech.) Si 22nm EOT:0.9nm 15nm, 11nm, 8nm, 5nm, 3nm, Technology for direct contact of high-k and Si is necessary MG La-silicate Si EOT=0.52 nm Remote SiO 2 -IL scavenging HfO 2 (IBM) EOT=0.37nm EOT=0.40nm EOT=0.48nm nm Increase of I d at 30% Direct contact with La-silicate (Tokyo.Tech) 46

47 High-k is very important, however very difficult. Thickness (EOT) decreased only 0.05 nm (or 0.5 Å, or 1 atom layer) for every generation. 47

48 How far can we go for production? Rather than Ioff value, Ion/Ioff ratio is important. Now, Ion/Ioff ratio is typically However, it degrades significantly with decrease in Vsupply. 48

49 I OFF [na/mm] I ON and I OFF benchmark I OFF [na/mm] IBM [5] GAA NW V DD =1V 1 NMOS IBM [j] ETSOI V DD =0.9V I eff Toshiba [d] Tri-Gate NW V DD =1V Intel [a] Bulk 32nm V DD =0.8V Samsung [c] Bulk 20nm V DD =0.9V Intel [a] Tri-Gate 22nm V DD =0.8V IBM [g] ETSOI V DD =0.9V IBM [g] FinFET 25nm V DD =1V STMicro. [h] GAA NW V DD =0.9V Intel [b] Bulk 45nm V DD =1V Tokyo Tech. [i] W-gate NW V DD =1V IBM [g] ETSOI V DD =1V STMicro. [h] GAA NW V DD =1.1V I ON [ma/mm] IBM [j] ETSOI V DD =0.9V I eff Intel [a] Bulk 32nm V DD =0.8V Samsung [c] Bulk 20nm V DD =0.9V IBM [g] ETSOI V DD =0.9V Intel [b] Bulk 45nm V DD =1V IBM [f] FinFET 25nm V DD =1V IBM [e] GAA NW V DD =1V PMOS Intel [a] Tri-Gate 22nm V DD =0.8V IBM [g] ETSOI V DD =1V STMicro. [h] GAA NW V DD =1.1V I ON [ma/mm] [a] C. Auth et al., pp.131, VLSI2012 (Intel). [f] T. Yamashita et al., pp.14, VLSI2011 (IBM). [b] K. Mistry et al., pp.247, IEDM2007 (Intel). [g] A. Khakifirooz et al., pp.117, VLSI2012 (IBM). [c] H.-J. Cho et al., pp.350, IEDM2011 (Samsung). [h] G. Bidal et al., pp.240, VLSI2009 (STMicroelectronics). [d] S. Saitoh et al., pp.11, VLSI2012 (Toshiba). [i] S. Sato et al., pp.361, ESSDERC2010 (Tokyo Tech.) [e] S. Bangsaruntip et al., pp.297, IEDM2009 (IBM). [j] K. Cheng et al., pp.419, IEDM2012 (IBM)

50 Nanowire/Tri gate MOSFETs have advantage not only suppressing Ioff, but also for increasing Ion over planer MOSFETs 1. Because of higher mobility due to lower vertical electric field 2. Because of higher carrier density at the round corner 50

51 51

52 Electron Density 電子濃度 (x10 19 cm -3 ) 6 6.E E E E E E E+00 角の部分 Edge portion Flat portion 平らな部分 Distance from SiNW Surface (nm) 52

53 3. Now Problems for downsizing! We have to decrease Si layer thickness to better control of channel potential by gate bias when we decrease the gate length. Significant decrease in conduction or Ion. 53

54 ITRS 2013 Year Commercial name (nm) T Si (nm) (T Si (nm) for ITRS 2007) (6.0) (6.0) (4.5) (3.8) (3.2) (3.0 in 2022) EOT (nm) (EOT (nm) for ITRS 2007) (0.60) (0.60) (0.55) (0.50) (0.50)(0.50 in 2022)

55 Short-channel effect T. Skotnicki, IEDM 2009 Short Course (STMicroelectronics) 55

56 Mobility degradation for small diameter nanowire FETs, because channel carriers become too close to all surrounding nanowire surface, and scattered strongly. 56

57 Problems in Multi-gate S. Bangsaruntip et al., pp.297, IEDM2009 (IBM), K. Tachi et al., pp.313, IEDM2009 (CEA-LETI) Need to decrease diameter for SCH Significant m degradation at diameter < 10 nm Decreasing the diameter of NW Improved short-channel control Severe mobility degradation 57

58 Problems in SOI K. Uchida et al., pp.47, IEDM2002 (Toshiba) Mobility is also decreased with decreasing the Si thickness of SOI transistor similar to the NW transistor. 58

59 Problem for nanowire When wire diameter becomes less than 10 nm, sudden drop of Id < 10 nm 1. Mobility degradation Extremely small distance between the electron and all around Si surface. Strong scattering of electrons by interaction with all around Si surface. Id Diameter 2. Electron density decrease Decrease of DOS in extremely narrow wire. 10 nm If diameter cannot be scaled, SCE cannot be suppressed. Then, again aggressive EOT scaling of high-k is necessary. 59

60 Carrier density degradation for small diameter nanowire FET, because of the decrease in density of states. 60

61 Number of quantum channels By Prof. Shiraishi of Tsukuba univ. 4 channels can be used Eg Eg Energy band of Bulk Si Energy band of 3 x 3 Si wire 61

62 Diameter dependence 1 nm 2 nm 3 nm 4 nm 6 nm By Profs. Oshiyama and Iwata, U. of Tokyo 62

63 Body Thickness [nm] EOT Scaling Trends K. Kim, pp.1, IEDM2010 (Samsung) ITRS2011 Fin width EOT [nm] Planar Multi- Gate Year Smaller wire/fin width is necessary for SCE suppression 0 But mobility and I ON severely degrade with wire/fin width reduction Therefore even in multi-gate structures, EOT scaling should be accelerated to provide SCE immunity 63

64 New Materials! High-k beyond 0.5 nm 64

65 Solution To use high-k dielectrics Thin SiO 2 K: Dielectric Constant Thick high-k dielectrics K=4 SiO 2 High-k Almost the same electric characteristics K=20 5 times thicker Small leakage Current 65 However, very difficult and big challenge!

66 H 1 Li Be 2 1 Gas or liquid at 1000 K Radio active He B C N O F Ne Na Mg Al Si P S Cl Ar 1 Ca K Sc Ti V Cr Mn Fc Co Ni Cu Zn Ga Ge As Se Br Kr Rh Sr Y Zr Nb Mo Tc Ru Rb Pd Ag Cd In Sn Sb Te I Xe Cs Ba Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra Rf Ha Sg Ns Hs Mt Candidates Unstable at Si interface 3 Choice of High-k elements for oxide Si + MO X M + SiO 2 Si + MO X MSi X + SiO 2 Si + MO X M + MSi X O Y La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Yb Lu Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No Lr R. Hauser, IEDM Short Course, 1999 Hubbard and Schlom, J Mater Res (1996) HfO 2 based dielectrics are selected as the first generation materials, because of their merit in 1) band-offset, 2) dielectric constant 3) thermal stability La 2 O 3 based dielectrics are thought to be the next generation materials, which may not need a thicker interfacial layer 66

67 Conduction band offset vs. Dielectric Constant Leakage Current by Tunneling Band offset Si Oxide SiO 2 Band Discontinuity [ev] Dielectric Constant XPS measurement by Prof. T. Hattori, INFOS

68 Direct high-k/si by silicate reaction HfO 2 case La 2 O 3 case Our approach Low P O2 High P O2 Low P O2 High P O2 V O HfSi x V O I O I O V O HfO 2 V O Si substrate I O I O SiO 2 -IL (k~4) V O V O LaSi x V O I I La O I O 2 O 3 O silicate La-rich Si substrate Si-rich SiO 2 -IL (k~4) Direct contact can be achieved with La 2 O 3 by forming silicate at interface Control of oxygen partial pressure is the key for processing. P O2 : Partial pressure of O 2 during high temperature annealing K. Kakushima, et al., VLSI2010, p.69

69 SiO x -IL growth at HfO 2 /Si Interface TEM image500 o C 30min Intensity (a.u) o C Hf Silicate SiO 2 Si sub Binding energy (ev) Phase separator XPS Si1s spectrum nm W HfO 2 SiO x -IL k=16 k=4 HfO 2 + Si + O 2 HfO 2 + Si + 2O* HfO 2 +SiO 2 H. Shimizu, JJAP, 44, pp Oxygen supplied from W gate electrode D.J.Lichtenwalner, Tans. ECS 11, 319 SiO x -IL is formed after annealing Oxygen control is required for optimizing the reaction

70 La-Silicate Reaction at La 2 O 3 /Si Direct contact high-k/si is possible Intensity (a.u) XPS Si1s spectra TEM image 500 o C, 30 min as depo. La-silicate Si sub. 300 o C W La 2 O 3 La-silicate k=23 k=8~ o C 1 nm Binding energy (ev) 1837 La 2 O 3 + Si + no 2 La 2 SiO 5, La 2 Si 2 O 7, La 9.33 Si 6 O 26, La 10 (SiO 4 ) 6 O 3, etc. La 2 O 3 can achieve direct contact of high-k/si

71 Physical mechanisms for small Dit 1 silicate-reaction-formed fresh interface 2 stress relaxation at interface by glass type structure of La silicate. metal La 2 O 3 Si Si sub. Si metal La-silicate Si sub. La atom La-O-Si bonding Si sub. SiO 4 tetrahedron network Fresh interface with silicate reaction FGA800 o C is necessary to reduce the interfacial stress J. S. Jur, et al., Appl. Phys. Lett., Vol. 87, No. 10, (2007) p S. D. Kosowsky, et al., Appl. Phys. 71Lett., Vol. 70, No. 23, (1997) pp. 3119

72 Capacitance [mf/cm 2 ] However, high-temperature anneal is necessary for the good interfacial property FGA500 o C 30min FGA700 o C 30min FGA800 o C 30min x 20mm kHz 100kHz 1MHz Capacitance [mf/cm 2 ] x 20mm 2 10kHz 100kHz 1MHz Capacitance [mf/cm 2 ] x 20mm 2 10kHz 100kHz 1MHz Gate Voltage [V] Gate Voltage [V] Gate Voltage [V] A fairly nice La-silicate/Si interface can be obtained with high temperature annealing. (800 o C) 72

73 5m Cluster tool for HKMG Stack EB Deposition for HK Flash Lamp Sputter for MG Robot Entrance 5m RTA ALD 73

74 Cluster Chambers for HKMG Gate Stack Sputter: MG EB Deposition: HK Flash Lamp Anneal ALD: HK Entrance Robot RTA 74

75 Precursor (ligand) La C 3 H 7 ALD of La2O3 K. Ozawa, et al., (Tokyo Tech. and AIST) Ext. Abstr. the 16 th Workshop on Gate Stack Technology and Physics., 2011, p La N N C 3 H 7 C C 3 H 7 H La(iPrCp)3 La(FAMD)3 La ligand O H 1 cycle substrate substrate substrate substrate 75 1La gas feed 2Ar purge 3H 2 O feed 4Ar purge ALD is indispensable from the manufacturing viewpoint - precise control of film thickness and good uniformity

76 ~ EOT (nm) As depo TiN(45nm)/W(6nm) Annealed for 2 s La 2 O 3 (3.5 nm) W(60 nm) TiN/W(6 nm) TiN/W(12 nm) Annealing temperature ( o C) Cg (uf/cm 2 ) Experiment Theory Cvc fitting TaN/(45nm)/W(3nm) 900 o C, 30min EOT=0.55nm EOT=0.55nm Vg (V) 76

77 Flat-band voltage(v) TaN(45nm)/W(3nm) Q fix = cm o C, 30min EOT(nm) Fixed Charge density: cm -2 77

78 Drain Current (ma) Our Work at TIT: High-k Electron Mobility [cm 2 /Vsec] Our result at TIT EOT=0.40nm 140 L/W = 5/20mm T = 300K N sub = cm -3 Vg= 1.0V Vg= 0.8V Vg= 0.6V Vg= 0.4V Vg= 0.2V Vg= 0 V Drain Voltage (V) EOT = 0.40nm L/W = 5/20mm T = 300K N sub = cm E eff [MV/cm] 78

79 J g at 1 V (A/cm 2 ) Benchmark of La-silicate dielectrics Mobility (cm 2 /Vsec) Gate Leakage current Effective Mobility 1.E+04 1.E+03 ITRS requirement at 1 MV/cm Solid circle: Our data 1.E La-silicate gate oxide 1.E E E-01 Our data: La-silicate gate oxide 50 Open square : Hf-based oxides 1.E EOT (nm) T. Ando, et al., (IBM) IEDM 2009, p EOT (nm) L.-Å. Ragnarsson, et al., (IMEC) Microelectron. Eng, vol. 88, no. 7, pp ,

80 Issues in high-k/metal gate stack Oxygen concentration control for prevention of EOT increase and oxygen vacancy formation in high-k Flat metal/high-k interface for better mobility Suppression of metal diffusion Suppression of oxygen vacancy formation Small interfacial state density at high-k/si Control of interface reaction and Si diffusion to high-k 80 Suppression of gate leakage current Endurance for high temperature process Metal High-k Si-sub. O SiO 2 -IL Reliability: PBTI, NBTI, TDDB Oxygen diffusion control for prevention of EOT increase and oxygen vacancy formation in high-k Workfunction engineering for V th control Suppression of FLP Interface dipole control for V th tuning Remove contamination introduced by CVD Thinning or removal of SiO 2 -IL for small EOT

81 Thank you very much for your attention. 81

82 Appendix 82

83 What is Next Revolution for Device Technology? 1900: Electronics 83

84 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics 84

85 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics When?: What? 85

86 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics?: Nano Electronics 86

87 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics?: Nano Electronics Maybe Not a Revolution But Great Innovention 87

88 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics When?: What? 88

89 What is Next Revolution for Device Technology? 1900: Electronics 1970: Micro Electronics? years When?: What? 89

90 What is Next Revolution for Device Technology? 1900: Electronics 70 years 1970: Micro Electronics? years When?: What? 90

91 What is Next Revolution for Device Technology? 1900: Electronics 70 years 1970: Micro Electronics 70 years When?: What? 91

92 What is Next Revolution for Device Technology? 1900: Electronics 70 years 1970: Micro Electronics 70 years 2040: Braintronics 92

93 Braintronics We do know system and algorithms are important! But do not know how it can be by us for use of bio? 93

94 Long term roadmap for development Source: H. Iwai, IPFA 2006 New Materials, New Process, New Structure Hybrid integration of different functional Chip Increase of SOC functionality ize 3D integration of memory cell 3D integration of logic devices Saturation of Downsizing Miniaturization of Interconnects on PCB (Printed Circuit Board) Low cost for LSI process Revolution for CR, Equipment Introduction of algorithm of biosystem Brain of insects, human Braintronics We do not know how? Some time in After 2040? 94

95 Braintronics for 2040 s It s a task for you, For young generations! 95

Future of Nano CMOS Technology

Future of Nano CMOS Technology May 26, 2014, IEEE EDS MQ at KTH, Kista, Stockholm, Sweden Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology April 7,2014, at INAOE, Puebla, Mexico Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature Size / Technology

More information

New Materials and Structures for Sub-10 nm CMOS Devices

New Materials and Structures for Sub-10 nm CMOS Devices May 18, 2014, At Fudan University, Shanghai, China New Materials and Structures for Sub-10 nm CMOS Devices Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology January 20,2014, DL Talk at URV (Universitat Rovira i Virgili), Tarragona, Spain Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 20,2014, DL Talk@ IIT-Bombay, Mumbai, India Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 1900 Electronics

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 2, 2014, At IISc Bangalore Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 1. Back ground for nano-cmos 2 First Computer Eniac: made of huge

More information

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture Nano CMOS Technology September 15, 2014 IEEE EDS Distinguished Lecture @Universidad Santo Tomas in Tunja, Colombia Hiroshi Iwai, Tokyo Institute of Technology 1 Outline 1. Introduction 2. Current status

More information

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology June 2, 2008 @National Technical University of Athens Hiroshi Iwai, Toyo Institute of Technology Needless

More information

#2653 Introduction of New Materials into CMOS Devices

#2653 Introduction of New Materials into CMOS Devices #2653 Introduction of New Materials into CMOS Devices ESC Symp on Purity Silicon(E6) 8:30 9:00 am, October, 10, 2012 @Rm 320, Level 3, Hawaiian Convention Center, Honolulu, Hawaii Hiroshi Iwai Frontier

More information

Miniaturization and future prospects of Si devices

Miniaturization and future prospects of Si devices Miniaturization and future prospects of Si devices G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World s Leading Scientists October 4, 2011

More information

EE669: VLSI TECHNOLOGY

EE669: VLSI TECHNOLOGY EE669: VLSI TECHNOLOGY Autumn Semester Graduate Course 2014-2015 Session by Arun N. Chandorkar Emeritus Fellow Professor Department of Electrical Engineering Indian Institute of Technology, Bombay Powai,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

CMOS Logic Technology IEEE EDS DL

CMOS Logic Technology IEEE EDS DL IIT-bombay, Tutorial CMOS Logic Technology IEEE EDS DL January 24, 2013 IIT-Bombay, Mumbai, India Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 More Moore approach Technology benchmark

More information

Scaling and Beyond for Logic and Memories. Which perspectives?

Scaling and Beyond for Logic and Memories. Which perspectives? September 26 th, 2012 Minatec, Grenoble - France ISCDG 2012, Short Course Scaling and Beyond for Logic and Memories. Which perspectives? Hiroshi Iwai and Barbara de Salvo Frontier Research Center, Tokyo

More information

Application Fields. Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup)

Application Fields. Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup) PROCESS STEPS Application Fields Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup) Signal Integrity (Switching Noise, DC Voltage Drop) Thermal

More information

Future of nano CMOS Technology

Future of nano CMOS Technology Future of nano CMOS Technology January 9, 2013 IEEE EDS DL@VIT, Vellore, India Hiroshi Iwai, Tokyo Institute of Technology 1 1900 Electronics started. Device: Vacuum tube Device feature size: 10 cm Major

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

bvparm2006.cif bvparm2006.cif Printed by Ram Seshadri

bvparm2006.cif bvparm2006.cif Printed by Ram Seshadri Jan 19, 09 9:48 Page 1/26 ACCUMULATED TABLE OF BOND VALENCE PARAMETERS Data_BOND_VALENCE_PARAMETERS_2006 05 02 bvparm2006.cif BVPARM.CIF _audit_conform_dict_name cif_core.dic _audit_conform_dict_version

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Downsizing of transistors towards its Limit

Downsizing of transistors towards its Limit Downsizing of transistors towards its Limit March 6, 2009 @Bengal Institute of Technology & Management Hiroshi Iwai, Tokyo Institute of Technology 1 There were many inventions in the 20 th century: Airplane,

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Logic LSI Technology Roadmap for 22nm and beyond

Logic LSI Technology Roadmap for 22nm and beyond Logic LSI Technology Roadmap for 22nm and beyond July 8, 2009 IPFA 2009@Suzhou, China Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power and Leakage

More information

Technology Roadmap for 22nm CMOS and beyond

Technology Roadmap for 22nm CMOS and beyond Technology Roadmap for 22nm CMOS and beyond June 1, 2009 IEDST 2009@IIT-Bombay Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power and Leakage

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Roadmap for 22nm Logic CMOS and Beyond

Roadmap for 22nm Logic CMOS and Beyond Roadmap for 22nm Logic CMOS and Beyond March 5, 2009 @Bengal Engineering Science Technology Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

2014, IJARCSSE All Rights Reserved Page 1352

2014, IJARCSSE All Rights Reserved Page 1352 Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Double Gate N-MOSFET

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Tokyo Institute of Technology, Yokohama , Japan

Tokyo Institute of Technology, Yokohama , Japan Impact of Thin Insertion for MOSFET K. Kakushima a, K. Okamoto b, M. Adachi b, K. Tachi b, S. Sato b, T. Kawanago b, J. Song b, P. Ahmet b, N. Sugii a, K. Tsutsui a, T. Hattori b and H. Iwai b a Interdisciplinary

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process Jianqiang Lin, Xin Zhao, Tao Yu, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Opportunities and Challenges for Nanoelectronic Devices and Processes

Opportunities and Challenges for Nanoelectronic Devices and Processes The Sixth U.S.-Korea Forum on Nanotechnology, April 28-29, 2009, Las Vegas, NV Opportunities and Challenges for Nanoelectronic Devices and Processes Yoshio Nishi Professor, Electrical Engineering, Material

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Intel s High-k/Metal Gate Announcement. November 4th, 2003 Intel s High-k/Metal Gate Announcement November 4th, 2003 1 What are we announcing? Intel has made significant progress in future transistor materials Two key parts of this new transistor are: The gate

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Effect of High-k Gate on the functioning of MOSFET at nano meter sizes

Effect of High-k Gate on the functioning of MOSFET at nano meter sizes IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 08, Issue 11 (November. 2018), V (III) PP 49-53 www.iosrjen.org Effect of High-k Gate on the functioning of MOSFET at

More information

Part II: The MOS Transistor Technology. J. SÉE 2004/2005

Part II: The MOS Transistor Technology. J. SÉE 2004/2005 Part II: The MOS Transistor Technology J. SÉE johann.see@ief.u-psud.fr 2004/2005 Lecture plan Towards the nanotechnologies... data storage The data processing through the ages MOS transistor in logic-gates

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor V Taisuke Iwai V Yuji Awano (Manuscript received April 9, 07) The continuous miniaturization of semiconductor chips has rapidly improved

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik

Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik InGaAs tri-gate MOSFETs with record on-current Zota, Cezar B.; Lindelow, Fredrik; Wernersson, Lars Erik; Lind, Erik Published in: 6 IEEE International Electron Devices Meeting, IEDM 6 DOI:.9/IEDM.6.7886

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information