CMOS LOGIC CIRCUIT DESIGN

Size: px
Start display at page:

Download "CMOS LOGIC CIRCUIT DESIGN"

Transcription

1 CMOS LOGIC CIRCUIT DESIGN

2 CMOS LOGIC CIRCUIT DESIGN John P. Uyemura Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW

3 ebook ISBN: Print ISBN: Kluwer Academic Publishers New York, Boston, Dordrecht, London, Moscow Print 2001 Kluwer Academic Publishers Dordrecht All rights reserved No part of this ebook may be reproduced or transmitted in any form or by any means, electronic, mechanical, recording, or otherwise, without written consent from the Publisher Created in the United States of America Visit Kluwer Online at: and Kluwer's ebookstore at:

4 Dedication This book is dedicated to Christine and Valerie for all of the joy and happiness that they bring into my life

5 Preface This book is based on the earlier Kluwer title Circuit Design for CMOS/VLSI which was published in At that time, CMOS was just entering the mainstream as a technique for high-speed, high-density logic circuits. Although the technology had been invented in the 1960 s, it was still necessary to include Section 1.1 entitled Why CMOS? to justify a book on the subject. Since that time, CMOS has matured and taken its place as the primary technology for VLSI and ULSI digital circuits. It therefore seemed appropriate to update the book and generate a second edition. Background of the Book After loading the old files and studying the content of the earlier book, it became clear to me that the field is much more stable and well-defined than it was in the early 1990 s. True, technological advances continue to make CMOS better and better, but the general foundations of modern digital circuit design have not changed much in the past few years. New logic circuit techniques appearing in the literature are based on well-established ideas, indicating that CMOS has matured. As a result of this observation, the great majority of the old files were abandoned and replaced with expanded discussions and new topics, and the book was reorganized to the form described below. There are sections that didn t change much. For example, Chapter 1 (which introduces MOSFETs) includes more derivations and pedagogical material, but the theme is about the same. But, many items are significantly different. For example, the earlier book contained about 60 pages on dynamic logic circuits. The present volume has almost three times the number of pages dedicated to this important area. In addition, the book has been written with more of a textbook flavor and includes problem sets. Contents Chapter 1 introduces the MOS system and uses the gradual-channel approximation to derive the square-law equations and basic FET models. This sets the notation for the rest of the book. Bulkcharge models are also discussed, and the last part of the chapter introduces topics from smalldevice theory, such as scaling and hot electrons.

6 viii Chapter 2 is an overview of silicon fabrication and topics relevant to a CMOS process flow. Basic ideas in lithography and pattern transfer are covered, as are items such as design rules, FET sizing, isolation, and latch-up. This chapter can be skipped in a first reading, but it is important to understanding some problems that are specific to layout and fabrication issues. It is not meant to replace a dedicated course in the subject. Circuit design starts in Chapter 3, which is a detailed analysis of the static CMOS inverter. The study is used to set the stage for all of the remaining chapters by defining important DC quantities, transient times, and introducing CMOS circuit analysis techniques. Chapter 4 concentrates on a detailed study of the electrical characteristics of FETs when used as voltage-controlled electronic switches. In particular, the treatment is structured to emphasize the strong and weak points of nfets and pfets, and how both are used to create logic networks. This feeds into Chapter 5, which is devoted entirely to static logic gates. This includes fully complementary designs in addition to variants such as pseudo-nmos circuits and novel XOR/XNOR networks. Chapter 6 on transmission gate logic completes this part of the book. Dynamic circuit concepts are introduced in Chapter 7. This chapter includes topics such as charge sharing and charge leakage in various types of CMOS circuit arrangements. RC modelling is introduced, and the Elmore formulas for the time constant of an RC ladder is derived. Clocks are introduced and used in various types of clocked static and dynamic circuits. Dynamic logic families are presented in Chapter 8. The discussion includes detailed treatments of precharge/evaluate ripple logic, domino logic cascades, self-resetting logic gates, single-phase circuits and others. I have tried to present the material in an order that demonstrates how the techniques were developed to solve specific problems. Chapter 9 deals with differential dual-rail logic families such as CVSL and CPL with short overviews of related design styles. The material in Chapter 10 is concerned with selected topics in chip design, such as interconnect modelling and delays, crosstalk, BSD-protected input circuits, and the effects of transmission lines on output drivers. The level of the presentation in this chapter is reasonably high, but the topics are complex enough so that the discussions only graze the surface. It would take another volume (at least) to do justice to these problems. As such, the chapter was included to serve as an introduction for other courses or readings. Use as a Text There is more than enough material in the book for a 1-semester or 2-quarter sequence at the senior undergraduate or the first-year graduate level. The text itself is structured around a first-year graduate course entitled Digital MOS Integrated Circuits that is taught at Georgia Tech every year. The course culminates with each student completing an individual design project. My objectives in developing the course material are two-fold. First, I want the students to be able to read relevant articles in the IEEE Journal of Solid-State Circuits with a reasonable level of comprehension by the end of the course. The second objective is more pragmatic. I attempt to structure the content and depth of the presentation to the point where the students can answer all of the questions posed in their job interviews and plant visits, and secure positions as chip designers after graduation. Moreover, I try to merge basics with current design techniques so that they can function in their positions with only a minimum amount of start-up time. Problem sets have been provided at the end of every chapter (except Chapter 2). The questions are based on the material emphasized in the chapter, and most of them are calculational in nature. Process parameters have been provided, but these can easily be replaced by different sets that might be of special interest. Most of the problems have appeared on my homeworks or exams; others are questions that I wrote, but never got around to using for one reason or another. I have tried to include a reasonable number of problems without getting excessive. Students that can follow the level of detail used in the book should not have many problems applying the material. SPICE simulations add a lot to understanding, and should be performed whenever possible.

7 ix Apologies No effort was made to include a detailed list of references in the final version of the book. I initially set out to compile a comprehensive bibliography. However, after several graduate students performed on-line literature searches that yielded results far more complete than my list, I decided to include only a minimal set here. The references that were chosen are books and a few papers whose contents are directly referenced in the writing. The task is thus left to the interested reader. I have tried very hard to eliminate the errors in the book, but realize that many will slip through. After completing six readings of the final manuscript, I think that I caught most of the major errors and hope that the remaining ones are relatively minor in nature. I apologize in advance for those I missed. Acknowledgments Many thanks are due to Carl Harris of Kluwer who has shown amazing patience in waiting for this project to be completed. He never seemed to lose hope, even when I was quite ill (and crabby) for several months and unable to do much. Of course, those who know Carl will agree with me that he is a true gentlemen with exceptional qualities. And a real nice guy. Dr. Roger P. Webb, Chair of the School of Electrical & Computer Engineering at Georgia Tech, has always supported my efforts in writing, and has my never ending thanks. Dr. William (Bill) Sayle, Vice-Chair for ECE Undergraduate Affairs, has also helped me more times than I can count during the many years we have known each other. I am grateful to my colleagues that have taken the time to discuss technical items with me. On the current project, this includes Dr. Glenn S. Smith, Dr. Andrew F. Peterson, and Dr. David R. Hertling in particular. I am grateful to the reviewers that took the time to weed through early versions of the manuscript that were full of typos, missing figures, and incomplete sections to give me their comments. Feedback from the many students and former students that have suffered through the course have helped shape the contents and presentation. Finally, I would like to thank my wife Melba and my daughters Valerie and Christine that have put up with dad sitting in front of the computer for hours and hours and hours. Their love has kept me going through this project and life in general! John P. Uyemura Smyrna, Georgia

8 Table of Contents Preface Table of Contents vii xi Chapter 1 Physics and Modelling of MOSFETs Basic MOSFET Characteristics The MOS Threshold Voltage Body Bias Current-Voltage Characteristics Square-Law Model Bulk-Charge Model The Role of Simple Device Models p-channel MOSFETs MOSFET Modelling Drain-Source Resistance MOSFET Capacitances Junction Leakage Currents Applications to Circuit Design Geometric Scaling Theory Full-Voltage Scaling Constant-Voltage Scaling Second-Order Scaling Effects Applications of Scaling Theory Small-Device Effects Threshold Voltage Modifications Mobility Variations 50 Hot Electrons Small Device Model MOSFET Modelling in SPICE Basic MOSFET Model 56

9 xii 1.9 Problems References 59 Chapter 2 Fabrication and Layout of CMOS Integrated Circuits Overview of Integrated Circuit Processing Oxides 61 Polysilicon 63 Doping and Ion Implantation 64 Metal Layers Photolithography The Self-Aligned MOSFET The LDD MOSFET Isolation and Wells LOCOS Improved LOCOS Process Trench Isolation The CMOS Process Flow Silicide Structures 83 Other Bulk Technologies Mask Design and Layout MOSFET Dimensions 88 Design Rules 90 Types of Design Rules 90 General Comments 94 Latch-Up Latch up Prevention Defects and Yield Considerations Other Failure Modes Chapter Summary References

10 xiii Chapter 3 The CMOS Inverter: Analysis and Design Basic Circuit and DC Operation DC Characteristics Noise Margins Layout Considerations Inverter Switching Characteristics Switching Intervals High-to-Low Time Low-to-High Time Maximum Switching Frequency Transient Effects on the VTC RC Modelling Propagation Delay Use of the Step-Input Waveform Output Capacitance Inverter Design DC Design 134 Transient Design 137 Power Dissipation Driving Large Capacitive Loads Problems References Chapter 4 Switching Properties of MOSFETs nfet Pass Transistors Logic 1 Input Logic 0 Input Switching Times Interpretation of the Results Layout 161 pmos Transmission Characteristics Logic 0 Input

11 xiv Logic 1 Input Switching Times 165 The Inverter Revisited Series-Connected MOSFETs nfet Chains pfet Chains FETs Driving Other FETs Transient Modelling The MOSFET RC Model 171 Voltage Decay On an RC Ladder 173 MOSFET Switch Logic Multiplexor Networks 186 Problems Chapter 5 Static Logic Gates Complex Logic Functions CMOS NAND Gate DC Characteristics Transient Characteristics Design N-Input NAND CMOS NOR Gate DC Transfer Characteristic Transient Times Design N-Input NOR Comparison of NAND and NOR Gates Layout Complex Logic Gates Examples of Complex Logic Gates 217 Logic Design Techniques 219 FET Sizing and Transient Design Exclusive OR and Equivalence Gates Mirror Circuits 226 Adder Circuits 230 SR and D-type Latch 232 The CMOS SRAM Cell 234

12 5.8.1 Receiver Latch Schmitt Trigger Circuits Tri-State Output Circuits Pseudo-nMOS Logic Gates Complex Logic in Pseudo-nMOS Simplified XNOR Gate 251 Compact XOR and Equivalence Gates 253 Problems 256 xv Chapter 6 Transmission Gate Logic Circuits Basic Structure The TG as a Tri-State Controller Electrical Analysis Logic 1 Transfer 263 Logic 0 Transfer RC Modelling TG Resistance Estimate Equivalent Resistance TG Capacitances Layout Considerations TG-Based Switch Logic Gates Basic Multiplexors 272 OR Gate 273 XOR and Equivalence 274 Transmission-gate Adders 276 TG Registers The D-type Flip-Flop nfet-based Storage Circuits Transmission Gates in Modern Design Problems

13 xvi Chapter 7 Dynamic Logic Circuit Concepts Charge Leakage Junction Reverse Leakage Currents Charge Leakage Analysis Subthreshold Leakage pfet Leakage Characteristics Junction Leakage in TGs Charge Sharing RC Equivalent The Dynamic RAM Cell Cell Design and Array Architecture 314 DRAM Overhead Circuits Bootstrapping and Charge Pumps Physics of Bootstrapping Bootstrapped AND Circuit Clocks and Synchronization Shift Register 327 TGs as Control Elements 330 Extension to General Clocked Systems 330 Clocked-CMOS Clock Generation Circuits Summary Comments Problems Chapter 8 CMOS Dynamic Logic Families Basic Philosophy Precharge/Evaluate Logic NAND3 Analysis Dynamic nmos Gate Examples nmos-nmos Cascades Dynamic pmos Logic nmos-pmos Alternating Cascades

14 8.3 Domino Logic Gate Characteristics Domino Cascades Charge Sharing and Charge Leakage Problems Sizing of MOSFET Chains High-Speed Cascades Multiple-Output Domino Logic Charge Sharing and Charge Leakage 395 Carry Look-Ahead (CLA) Adder Self-Resetting Logic NORA Logic NORA Series-Parallel Multiplier Single-Phase Logic 8.8 An Overview of Dynamic Logic Families 8.9 Problems 8.10 References xvii Chapter 9 CMOS Differential Logic Families Dual Rail Logic Cascode Voltage Switch Logic (CVSL) The pfet Latch CVSL Buffer/Inverter nfet Switching Network Design Switching Speeds Logic Chains in CVSL Dynamic CVSL Variations on CVSL Logic Sample-Set Differential Logic (SSDL) ECDL DCSL Complementary Pass-Transistor Logic (CPL) Input Arrays Input Arrays 459 CPL Full-Adder Dual Pass-Transistor Logic (DPL) 462

15 xviii Summary of Differential Design Styles Single/Dual Rail Conversion Circuits Single-to-Dual Rail Conversion Dual-to-Single Rail Conversion A Basic Current Source 472 Problems References Chapter 10 Issues in Chip Design On-Chip Interconnects Line Parasitics 477 Modelling of the Interconnect Line Clock Distribution Coupling Capacitors and Crosstalk Input and Output Circuits Input Protection Networks 498 Output Circuits Transmission Lines Ideal Transmission Line Analysis Reflections and Matching Problems 10.5 References Index 525

16 CMOS LOGIC CIRCUIT DESIGN

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

PHYSICS OF SEMICONDUCTOR DEVICES

PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES by J. P. Colinge Department of Electrical and Computer Engineering University of California, Davis C. A. Colinge Department of Electrical

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Semiconductor Detector Systems

Semiconductor Detector Systems Semiconductor Detector Systems Helmuth Spieler Physics Division, Lawrence Berkeley National Laboratory OXFORD UNIVERSITY PRESS ix CONTENTS 1 Detector systems overview 1 1.1 Sensor 2 1.2 Preamplifier 3

More information

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203. DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING SUBJECT : EC6601 VLSI DESIGN QUESTION BANK SEM / YEAR: VI / IIIyear B.E. EC6601VLSI

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits

Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits 566 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Gate-Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits Arkadiy Morgenshtein,

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices Modelling and Technology Source Electrons Gate Holes Drain Insulator Nandita DasGupta Amitava DasGupta SEMICONDUCTOR DEVICES Modelling and Technology NANDITA DASGUPTA Professor Department

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK VI SEMESTER EC6601 VLSI Design Regulation 2013 Academic Year 2017

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

A SURVEY ON DIFFERENT ARCHITECTURE FOR XOR GATE

A SURVEY ON DIFFERENT ARCHITECTURE FOR XOR GATE A SURVEY ON DIFFERENT ARCHITECTURE FOR XOR GATE S.Rajarajeshwari, V.Vaishali #1 and C.Saravanakumar *2 # UG Student, Department of ECE, Valliammai Engineering College, Chennai,India * Assistant Professor,

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Design of Analog CMOS Integrated Circuits

Design of Analog CMOS Integrated Circuits Design of Analog CMOS Integrated Circuits Behzad Razavi Professor of Electrical Engineering University of California, Los Angeles H Boston Burr Ridge, IL Dubuque, IA Madison, WI New York San Francisco

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) CMOS Digital Logic. Lecture 6: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 6: CMOS Digital Logic 1 Last Lectures The CMOS Inverter CMOS Capacitance Driving a Load 2 This Lecture Now that we know all

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Energy Efficient Full-adder using GDI Technique

Energy Efficient Full-adder using GDI Technique Energy Efficient Full-adder using GDI Technique Balakrishna.Batta¹, Manohar.Choragudi², Mahesh Varma.D³ ¹P.G Student, Kakinada Institute of Engineering and technology, korangi, JNTUK, A.P, INDIA ²Assistant

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Chapter 1 Semiconductors and the p-n Junction Diode 1

Chapter 1 Semiconductors and the p-n Junction Diode 1 Preface xiv Chapter 1 Semiconductors and the p-n Junction Diode 1 1-1 Semiconductors 2 1-2 Impure Semiconductors 5 1-3 Conduction Processes in Semiconductors 7 1-4 Thep-nJunction 9' 1-5 The Meta1-Semiconductor

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 VLSI COMP375 Computer Architecture Middleware other CS classes Machine Language Microcode Logic circuits Transistors Middleware Machine Language - earlier Microcode Logic circuits Transistors Middleware

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

MOSFETS: Gain & non-linearity

MOSFETS: Gain & non-linearity MOFET: ain & non-linearity source gate Polysilicon wire Heavily doped (n-type or p-type) diffusions W Inter-layer io 2 insulation Very thin (

More information

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology

PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology PMOS-based Integrated Charge Pumps with Extended Voltage Range in Standard CMOS Technology by Jingqi Liu A Thesis presented to The University of Guelph In partial fulfillment of requirements for the degree

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY

POWER EFFICIENT DESIGN OF COUNTER ON.12 MICRON TECHNOLOGY Volume-, Issue-, March 2 POWER EFFICIENT DESIGN OF COUNTER ON.2 MICRON TECHNOLOGY Simmy Hirkaney, Sandip Nemade, Vikash Gupta Abstract As chip manufacturing technology is suddenly on the threshold of major

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 Layers VLSI COMP370 Intro to Computer Architecture t Applications Middleware other CS classes High level languages Machine Language Microcode Logic circuits Gates Transistors Silicon structures Layers

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Microelectronic Circuits

Microelectronic Circuits SECOND EDITION ISHBWHBI \ ' -' Microelectronic Circuits Adel S. Sedra University of Toronto Kenneth С Smith University of Toronto HOLT, RINEHART AND WINSTON HOLT, RINEHART AND WINSTON, INC. New York Chicago

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 1.What are four generations of Integration Circuits? _ SSI (Small Scale Integration) _ MSI (Medium Scale Integration) _ LSI (Large Scale Integration)

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information