VALLIAMMAI ENGINEERING COLLEGE

Size: px
Start display at page:

Download "VALLIAMMAI ENGINEERING COLLEGE"

Transcription

1 VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK VI SEMESTER EC6601 VLSI Design Regulation 2013 Academic Year Prepared by Dr. Usha Bhanu. N, Associate Professor/ECE Mr. S. Senthilmurugan, Assistant Professor/ECE Mr. C.Saravanakumar, Assistant Professor/ECE Page 1 of 12

2 VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT : EC6601 VLSI Design SEMESTER/YEAR : VI / III UNIT I MOS TRANSISTOR PRINCIPLE NMOS and PMOS transistors, Process parameters for MOS and CMOS, Electrical properties of CMOS circuits and device modelling, Scaling principles and fundamental limits, CMOS inverter scaling, propagation delays, Stick diagram, Layout diagrams. Q. No Questions PART - A BT Level Competence 1. What is the need for demarcation line? 2. Compare NMOS and PMOS transistor. 3. Define propagation delay of CMOS inverter. 4. Mention the different types of scaling technique. 5. Why NMOS transistor is selected as pull down transistor? 6. Describe the lambda based design rules used for layout. 7. What is stick diagram? Sketch the stick diagram for 2 input NAND gate. 8. Explain the hot carrier effect. 9. Draw the DC transfer characteristics of CMOS inverter. 10. Name the different operating modes of transistor? 11. Classify SPICE models for MOS transistor. 12. What are the steps involved in IC fabrication? 13. Discuss the limitations of the constant voltage scaling. 14. Define body effect and write the threshold equation including the body 15. Design a 3 input NAND gate. 16. List out second order effects of MOS transistor Determine whether an NMOS transistor with a threshold voltage of 0.7v is operating in the saturation region if GSV=2v and DSV=3v. Summarize the equation for describing the channel length modulation effect in NMOS transistor. Why the tunneling current is higher for NMOS transistors than PMOS transistors with silica gate? Consider the NMOS transistor in 180nm process with a nominal threshold voltage of 0.4v and doping level of 8x10 17 cm -3. Propose the body voltage. Page 2 of 12

3 PART - B 1. Illustrate with necessary diagrams Electrical properties of MOS transistor in detail. (13) 2. Describe the CMOS inverter and Derive the DC characteristics. (13) 3. Narrate in detail about ideal I-V characteristics and non-ideal I-V characteristics of NMOS and PMOS devices. (13) 4. i) Derive the drain current of MOS device in different operating regions. (8) ii) With neat diagram formulate the n-well and channel formation in CMOS process. (5) 5. Mention in detail about second order effects in MOS transistor. (13) 6. Summarize the following: i) CMOS process enhancements (8) ii) Layout design rules. (5) 7. i) Examine the equation for threshold voltage of a MOS transistor in terms of flat band voltage using necessary explanations and derivations. (8) ii) State the step by step derivation of threshold voltage equation of NMOS transistor with and without body effect. (5) 8. i) An NMOS transistor has the following parameters: gate oxide thickness=10nm, relative permittivity of gate oxide =3.9, electron mobility=520cm 2 /v-sec, threshold voltage=0.7v, permittivity of free space=8.85x10-14 F/cm and W/L=8. Estimate the drain current when V GS= 2v and V DS =1.2v and also compute the gate oxide capacitance per unit area. Note that W and L refer to the width and length of the channel respectively. (8) ii) Evaluate the principle of SOI technology with neat diagram and list out its advantages and disadvantages. (5) 9. i) An NMOS transistor has a nominal threshold voltage of 0.16v. Inspect the shift in threshold voltage caused by body effect using the following data. The NMOS transistor is operating at a temperature of 300 o K with the following parameters: gate oxide thickness t ox =0.2x10-6 cm, relative permittivity of gate oxide ε ox =3.9, relative permittivity of silicon ε si =11.7, substrate bias voltage =2.5v, intrinsic electron concentration N i =1.5 x cm 3, impurity concentration in substrate N A =3 x cm 3. Given Boltzman s constant =1.38x10-23 J/ o K, electron charge =1.6x10-19 coulomb and permittivity of free space =8.85x10-14 F/cm. (8) ii) Examine a brief note on CMOS fabrication steps with necessary diagram. (5) Page 3 of 12

4 10. i) Draw the stick diagram and layout diagram using the function Y ( A B C). D of CMOS compound gate. (8) ii) Label the necessary stick diagram and layout for the design of NAD and NOR gates. (5) 11. i) Analyze the different steps involved in n-well CMOS fabrication process with neat diagrams. (8) ii) Explain the noise margin for a CMOS inverter. (5) 12. i) Construct the design rules for a CMOS inverter, in detail with a neat layout. (8) ii) Apply the mathematical equations that can be used to model the drain current and diffusion capacitance of MOS transistors. (5) 13. i) Express the Scaling Principles and its fundamental limits. (8) ii) Recall the principles of constant field and lateral scaling. Write the effects of the above scaling methods on device characteristics (5) 14. i) Define and derive the trans conductance of NMOS transistor. (8) ii) Write down the equations of the small signal model of an NMOS transistor. (5) PART C 1. With necessary illustrations explain the layout design rules and draw the layout diagram for four input NAND and NOR gate. (15) 2. Explain in detail about the need of scaling, scaling principles and effect of scaling on MOSFET device parameters. (15) 3. Derive an expression for Vin of a CMOS inverter to achieve the condition Vin=Vout. What should be the relation for βn=βp. (10) Explain the latch up conditions in CMOS circuits. (5) 4. Consider the NMOS transistor in a 180nm process with a nominal threshold voltage of 0.4V and doping level of 8 X cm-3. The body of the transistor is tied to ground with a substrate contact. How much the threshold change at room temperature if the source is at 1.1V instead of 0V? ε si =11.7 X 8.85x10-14 F/cm. (15) UNIT II COMBINATIONAL LOGIC CIRCUITS Examples of Combinational Logic Design, Elmore s constant, Pass transistor Logic, Transmission gates, static and dynamic CMOS design, Power dissipation Low power design principles. PART A Q. BT Questions No Level Competence 1. Describe path logical effort. 2. List the methods to reduce dynamic power dissipation. 3. Calculate logical effort and parasitic delay of n input NOR gate. 4. Distinguish between static and dynamic CMOS design. 5. Explain pass transistor logic. 6. Design an AND gate using pass transistor. 7. Justify why the interconnect increase the circuit delay. 8. Define critical path. Page 4 of 12

5 9. What is Elmore constant? 10. State the advantages of transmission gates. 11. Justify the reasons for the speed advantage of CVSL family. 12. Implement a 2:1 MUX using pass transistor. 13. Narrate about logical effort. 14. Summarize the expression for electrical effort of logic circuits. 15. Illustrate the method for reducing energy consumption of a logic circuit. 16. Discuss the advantages of power reduction in CMOS circuits. 17. Point out the factors that cause static power dissipation in CMOS circuits. 18. Mention the sources of power dissipation. 19. Draw the pseudo NMOS logic gate. 20. If load capacitance increases, What will happen to CMOS power dissipation? PART B 1. Analyze the following combinational circuits using the CMOS logic: i) Two input NOR gate. (3) ii) Parity generator (3) iii) Two input NAND gate. (3) iv) Multiplexers (4) 2. Describe in detail about i) Delay estimation. (5) ii) Logical effort. (4) iii) Transistor sizing. (4) 3. With supporting diagrams, give notes on : i) Static CMOS (4) ii) Bubble pushing (4) iii) Compound gates. (5) 4. i) Analyse the logical expression in the form of basic gates using CMOS logic, F= AB + CD. (6) ii) Estimate least delay and determine input capacitance of each stage for the logic network shown in figure, which may output of the network is loaded with a capacitance represent the critical path of a more complex logic block. The output of the network is loaded with a capacitance which is 5 times larger than the input capacitance of the first gate, which is a minimum-sized inverter. (7) 1 a b c 5 5. i) Formulate the expression for minimum possible delay of multistage logic networks. (6) ii) Derive the Elmore constant for NAND and NOR gates. (7) Page 5 of 12

6 6. Illustrate the expressions using Elmore s RC delay: i) Effective resistance (6) ii) Capacitance estimation. (7) 7. Discuss briefly the principle and operation of the following along with its advantages. i) Pass Transistor logic (6) ii) Complementary Pass Transistor Logic (7) 8. Write the principle of transmission gate using the design of multiplexer. (13) 9. i) Relate with Necessary Diagrams the principle of Zipper CMOS Logic. (6) ii) Implement AND/NAND gates using Dual-Rail Domino Logic. (7) 10. i) Identify the design issues in dynamic CMOS (6) ii) Recall the factors that should be considered while designing Dynamic CMOS. (7) 11. Explain the operation of the following along with necessary diagrams i) Dynamic CMOS Domino (6) ii) NP Domino logic with necessary diagrams. (7) 12. i) Examine the characteristics of Pseudo-NMOS Circuits with the help of Inverter, NAND and NOR Gates. (6) ii) Evaluate the different methods of reducing static and dynamic power dissipation in CMOS circuits and Explain in briefly. (7) 13. Write short notes on: i)ratioed Circuits (3) ii)dynamic CMOS Circuits (3) iii) Keepers (3) iv) Multiple Ouput Dynamic Logic (4) 14. Examine with necessary diagrams and expressions: i)static power dissipation in CMOS circuits (6) ii)dynamic power dissipation in CMOS circuits (7) PART C Q. No. Questions 1. i) Implement an EXOR gate using CMOS logic. (7) ii) Evaluate the delay of the fanout-of-4(fo4) inverter. Assume the inverter is constructed in180nm process with τ=15ps. (8) BTL4 BT Level Competence Page 6 of 12

7 2. i. Draw the static CMOS logic circuit for the following expression (a) Y= ( A.B.C.D) (5) (b) Y= D(A+BC) (5) ii. Discuss in detail the characteristics of CMOS transmission gate. (5) 3. i. Construct the CMOS logic circuit for the Boolean expression Z= [A (B+C) +DE] and explain. (8) ii. Explain about DCVSL logic with suitable example. (7) 4. i) Write the expression for minimum possible delay of multistage logic networks. (8) ii) Design and estimate the frequency of n-stage ring oscillator and construct the ring oscillator from an odd number of inverter. (7) UNIT III SEQUENTIAL LOGIC CIRCUITS Static and Dynamic Latches and Registers, Timing issues, pipelines, clock strategies, Memory architecture and memory control circuits, Low power memory circuits, Synchronous and Asynchronous design. PART A Q. No Questions BT Level Competence 1. List the advantages of differential flip flops. 2. Enumerate about NORA CMOS in brief? 3. Sketch the characteristic curve of meta stable state in static latch. 4. Distinguish between a latches and flip flop. 5. Classify the sequential elements in reducing the overhead and skew. 6. Define Clock Jitter. 7. Summarize the operation modes of NORA logic. 8. Determine the property of clock overlap in the registers. 9. What is Klass semi dynamic flip flop? 10. Recall the methods of sequencing static circuit. 11. Write about pipelining? 12. Compare and Contrast Synchronous and Asynchronous Design? 13. Explain simple synchronizer circuit. 14. Formulate hold-time problem which would occur, If a data path circuits uses pulsed latches in place of flip flops. 15. Justify the advantages and applications of self-time pipelined circuits. 16. Design a 1-transistor DRAM cell. 17. Illustrate the concept of clock skew in transparent latches. 18. Give the properties of TSPC. 19. Why pipelining is need for of sequential circuits? 20. Draw the schematic of dynamic edge-triggered register. Page 7 of 12

8 PART B 1. Explain the sequencing methods of sequential static circuits. (13) 2. Discuss in detail : i) Synchronous pipelining in sequential circuits. (7) ii) Asynchronous pipelining in sequential circuits. (6) 3. Write about the latches and flip-flops in design methodology of sequential circuit design (13) 4. i) State and explain the Klass semi dynamic flip flops and differential Flip flops. (7) ii) Illustrate the enabled latches and flip flops. (6) 5. i) Design a D-latch using transmission gate. (7) ii) Evaluate a 1-bit dynamic inverting and noninverting register using pass transistor. (6) 6. i) Draw and explain the operation of conventional CMOS pulsed and resettable latches. (7) ii) Estimate about sequencing dynamic circuits. (6) 7. i) Compare the sequencing in traditional Domino and Skew tolerant Domino circuit with neat diagrams. (7) ii)elucidate a floating gate transistor and its programming methodology. (6) 8. Describe about the concept of timing issues and pipelining. (13) 9. Give a brief note on: i) CMOS S-RAM cell and Dynamic RAM cell. (7) ii) 4T and 6T SRAM cell structures (6) 10. i) Consider a flip flop built from a pair of transparent latches using non overlapping clocks. Determine the set-up time, hold time and clock-to-q-delay of the flip flops in terms of the latch timing parameters and t nonoverlap. (7) ii) Design a 2 input CVSL AND/NAND gate and a 3 input CVSL OR/NOR gate. (6) 11. Write Short notes on : i) True Single phase clocked register (7) ii) NORA- CMOS pipelined latches (6) 12. Illustrate with necessary diagrams the design and organization of CAM. (13) 13. Explain in detail about: i) Synchronizers (7) ii) Metastability. (6) 14. Demonstrate the maximum and minimum delay constraints needed to design sequential circuits. (13) PART C 1. Discuss about the design of sequential dynamic circuits and its pipelining concept. (15) 2. Explain the timing basics and clock distribution techniques in Evaluate synchronous design in detail. (15) 3. Elaborate about various static latches and registers. (15) 4. Interpret the operation of Master Slave edge triggered register. (15) Page 8 of 12

9 UNIT IV DESIGNING ARITHMETIC BUILDING BLOCKS Data path circuits, Architectures for ripple carry adders, carry look ahead adders, High speed adders, accumulators, Multipliers, dividers, Barrel shifters, speed and area trade-off. Q. No Questions PART A BT Level Competence 1. Derive the expression for critical path of an array multiplier. 2. Summarize the characteristics of Manchester carry chain adder. 3. List out the components of Datapath Why is barrel Shifters very useful in the designing of arithmetic circuits? Interpret a partial product selection table using modified 3-bit booth s recoding multiplication. 6. What is latency? Compare constant throughput/latency and variable throughput latency in active & leakage mode. List the advantages and disadvantages of full adder design using static CMOS. Analyze the concept of Dynamic voltage scaling and list its advantages. 10. Define Clock gating. 11. a schematic for Sleep transistors used on both supply and ground. 12. Examine the need of VTCMOS 13. Give the applications of high speed adder 14. Analyze the inverting property of full adder. 15. How to design a high speed adder? 16. Write about logical and architectural optimization? 17. Classify Power optimization techniques for latency and throughput constrained design. 18. Write the principle of any one fast multiplier? 19. Sketch a Manchester carry gate. 20. Elaborate the Concept of Transmission gate full adder. PART B 1. i) Describe ripple carry adder and derive the expression for worst case delay. (10) ii) Write a note on Carry Bypass adders (3) 2. Examine the concept of carry look ahead adder with neat diagram. (13) Page 9 of 12

10 3. Outline the operation of a basic 4 bit adder. Describe the different approaches of improving the speed of the adder. (13) 4. Illustrate the concepts of monolithic and logarithmic look ahead adder. (13) 5. Define shifter and give a short note on i) Barrel shifter (7) ii) Logarithmic shifter. (6) 6. i) Demonstrate how to reduce the number of generated partial products by half. (7) ii) Identify and explain the concept of Dynamic Voltage Scaling. (6) 7. Design the arithmetic logic unit (ALU) of 64 bit high end microprocessor and arithmetic operators involved in design. (13) 8. Summarize the methods involved in run time power management. (13) 9. Determine the implementation of a i)look ahead adder in dynamic logic. (7) ii)parallel Prefix adder (6) 10. Give a note on linear carry select adder. (13) 11. Examine the operation of : i)static CMOS adders. (7) ii)mirror adder (6) 12. Analyze the operation of booth multiplication with suitable examples. Justify how booth algorithm speed up the multiplication process. (13) 13. Discuss the data paths in digital processor architectures. (13) 14. Write short notes on: i) Walllace multipliers (7) ii) Dadda multipliers (6) 1. PART C Assess the structure of ripple carry adder with a neat diagram and explain its operation. How the drawback in ripple carry adder overcome by carry look ahead adder and discuss. (15) 2. Design a multiplier for 5 bit by 3 bit. Explain its operation and summarize the number of adders. Discuss it over Wallace multiplier. (15) 3. Explain a Modified Booth algorithm with a suitable example. (15) 4. Discuss the steps in designing restoring division circuit. (15) Page 10 of 12

11 UNIT V IMPLEMENTATION STRATEGIES Full custom and Semi-custom design, Standard cell design and cell libraries, FPGA building block architectures, FPGA interconnect routing procedures. PART A Q. No Questions BT Level Competence 1. What is antifuse? State its merits and demerits. 2. Classify the implementation approaches for digital integrated circuits. 3. List out the advantages and disadvantages of cell based design methodology. 4. Narrate about feed-through cells and state their uses. 5. Classify the types of Macro cells. 6. Give a note on Tape out of chip. 7. State the features of full-custom design. 8. Compare semi-custom and full custom design. 9. Describe about standard cell based ASIC design? 10. Define Fuse based FPGA. 11. Name the elements in a configuration logic Block. 12. Develop an array based architecture used in Altera MAX series. 13. Design a primitive gate array cell. 14. Explain configurable logic block. 15. Summarize the functions of Programmable Interconnect Points in FPGA. 16. Identify the issues in implementing Boolean functions on array of cells. 17. Summarize the design steps of Semicustom design flow. 18. Illustrate Composition of generic digital processor. 19. Outline the steps for ASIC design flow. Understand 20. Write the various ways of routing procedure PART B 1. (i) List and explain the components that makeup the cell based design methodology. (8) (ii) Give a short note on programming of PAL. (5) 2. (i)describe the Steps involved in semicustom design flow. (7) (ii)explain the concepts of programmable interconnect. (6) 3. (i)describe the Blocks involved in digital processor. (8) (ii)define and explain the approaches of programmable wiring. (5) 4. (i)illustrate the concepts of Mask programmable arrays. (10) (ii)identify the components involved in constructing a voltage output macrocell. (3) Page 11 of 12

12 5. Explain the types of FPGA routing techniques. (13) 6. Examine the interconnect architectures of Altera Max series (7) Xilinx XC40XX series (6) 7. (i)identify and Explain the FPGA block structure along its components. (7) (ii) Mention in detail the techniques involved in Switch box programmable wiring. (6) 8. (i)discuss the types of FPGA routing techniques. (7) (i)demonstrate the types of ASICS. (6) 9. Design an LUT-Based Logic Cell. (7) Elaborate the Classification of prewired arrays. (6) 10. (i) Compare two types of macrocells. (6) (ii) Inspect the datapaths in digital processor architectures. (7) 11. Discuss the different types of programming technology used in FPGA design. (13) 12. Categorize the semi-custom ASIC with necessary diagrams. (13) 13. Explain the classification of ASIC with necessary block diagram. (i) Full Custom ASIC (7) (ii) Semi-Custom ASIC (6) 14. Write short notes on (i) Xilinx LCA (6) (ii) Altera Max (7) PART C 1. With neat sketch explain the CLB, IOB and programmable interconnects of an FPGA device. (15) 2. Draw and explain the building blocks of FPGA with different fusing technologies. (15) (i) Explain about building block architecture of FPGA (10) (ii) Write short notes on routing procedures involved in FPGA interconnect. (5) Discuss in detail about different types of ASIC with neat diagram. (15) Page 12 of 12

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203. DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING SUBJECT : EC6601 VLSI DESIGN QUESTION BANK SEM / YEAR: VI / IIIyear B.E. EC6601VLSI

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 1.What are four generations of Integration Circuits? _ SSI (Small Scale Integration) _ MSI (Medium Scale Integration) _ LSI (Large Scale Integration)

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Sixth Semester (Elective)

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Fifth Semester (Elective)

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

IES Digital Mock Test

IES Digital Mock Test . The circuit given below work as IES Digital Mock Test - 4 Logic A B C x y z (a) Binary to Gray code converter (c) Binary to ECESS- converter (b) Gray code to Binary converter (d) ECESS- To Gray code

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

CMOS LOGIC CIRCUIT DESIGN

CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN John P. Uyemura Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW ebook ISBN: 0-306-47529-4 Print

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

Digital Systems Laboratory

Digital Systems Laboratory 2012 Fall CSE140L Digital Systems Laboratory Lecture #2 by Dr. Choon Kim CSE Department, UCSD chk034@eng.ucsd.edu Lecture #2 1 Digital Technologies CPU(Central Processing Unit) GPU(Graphics Processing

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Code No: R Set No. 1

Code No: R Set No. 1 Code No: R05310402 Set No. 1 1. (a) What are the parameters that are necessary to define the electrical characteristics of CMOS circuits? Mention the typical values of a CMOS NAND gate. (b) Design a CMOS

More information

Gdi Technique Based Carry Look Ahead Adder Design

Gdi Technique Based Carry Look Ahead Adder Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Gdi Technique Based Carry Look Ahead Adder Design

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

UNIT-1: MOS TRANSISTOR PRINCIPLE DSEC/ECE/QB

UNIT-1: MOS TRANSISTOR PRINCIPLE DSEC/ECE/QB UNIT-1: MOS TRANSISTOR PRINCIPLE DSEC/ECE/QB DHANALAKSHMI SRINIVASAN ENGINEERING COLLEGE, PERAMBALUR - 621212 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6601 - VLSI DESIGN QUESTION BANK

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101

Figure.1. Schematic of 4-bit CLA JCHPS Special Issue 9: June Page 101 Delay Depreciation and Power efficient Carry Look Ahead Adder using CMOS T. Archana*, K. Arunkumar, A. Hema Malini Department of Electronics and Communication Engineering, Saveetha Engineering College,

More information

VL0306-VLSI Devices & Design. L T P C EC0306 VLSI DEVICES AND DESIGN Prerequisite : EC0205 & EC0203 Course outcomes

VL0306-VLSI Devices & Design. L T P C EC0306 VLSI DEVICES AND DESIGN Prerequisite : EC0205 & EC0203 Course outcomes Page 1 VL0306-VLSI Devices & Design L T P C EC0306 VLSI DEVICES AND DESIGN 2 2 0 3 Prerequisite : EC0205 & EC0203 Course outcomes the ability to identify, formulate and solve engineering problems i) Graduate

More information

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS

R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. ELECTRONIC PRINCIPLES AND APPLICATIONS R.B.V.R.R. WOMEN S COLLEGE (AUTONOMOUS) Narayanaguda, Hyderabad. DEPARTMENT OF PHYSICS QUESTION BANK FOR SEMESTER V PHYSICS PAPER VI (A) ELECTRONIC PRINCIPLES AND APPLICATIONS UNIT I: SEMICONDUCTOR DEVICES

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

CONTENTS. low power vlsi design (COMMON TO VLSI/VLSI DESIGN/VLSI SYSTEM DESIGN) OW-POWER CIRCUIT DESIGN SOURCES OF POWER DISSIPATION

CONTENTS. low power vlsi design (COMMON TO VLSI/VLSI DESIGN/VLSI SYSTEM DESIGN) OW-POWER CIRCUIT DESIGN SOURCES OF POWER DISSIPATION i low power vlsi design FOR m.tech (jntu - h&k) i year Ii semester (COMMON TO VLSI/VLSI DESIGN/VLSI SYSTEM DESIGN) CONTENTS UNIT - I [FUND FUNDAMENT AMENTALS ALS OF LOW OW-POWER CMOS VLSI DESIGN]... 1.1-1.12

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Comparison of Multiplier Design with Various Full Adders

Comparison of Multiplier Design with Various Full Adders Comparison of Multiplier Design with Various Full s Aruna Devi S 1, Akshaya V 2, Elamathi K 3 1,2,3Assistant Professor, Dept. of Electronics and Communication Engineering, College, Tamil Nadu, India ---------------------------------------------------------------------***----------------------------------------------------------------------

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. K. Kloukinas, F. Faccio, A. Marchioro, P. Moreira, CERN/EP-MIC,

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203. DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING QUESTION BANK SUBJECT : EC6404 LINEAR INTEGRATED CIRCUITS SEM / YEAR: IV / II year

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Performance Analysis of High Speed CMOS Full Adder Circuits For Embedded System

Performance Analysis of High Speed CMOS Full Adder Circuits For Embedded System ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Performance Analysis of High Speed CMOS Full Adder Circuits For Embedded System

More information