Assessment of Technological Device Parameters by Low frequency Noise Investigation in SOI Omega gate Nanowire NMOS FETs

Size: px
Start display at page:

Download "Assessment of Technological Device Parameters by Low frequency Noise Investigation in SOI Omega gate Nanowire NMOS FETs"

Transcription

1 15th UL Conference, ween, tockholm, April 7-9, 014 ession 5: Device characterization 11:50 1:10 Wenesay, April 9, 014 Assessment of Technological Device Parameters by Low frequency Noise nvestigation in O Omega gate Nanowire NMO FETs M. Koyama 1,3, M. Cassé 1,. Barrau 1, G. Ghibauo, H. wai 3, an G. Reimbol 1 1 CEA LET, MEP LAHC, 3 Tokyo nstitute of Technology

2 ntrouction - Low-frequency noise in MOFETs efect (charge trap) carrier (electron) chematic of planar MOFET Noise intensity (a.u.) Frequency (Hz) (a) M. von Haartman an M. Östling: Low-frequency noise in avance MO evices, pringer, 007. Noise sources in MOFET: Carrier number fluctuations (CNF) Mobility fluctuations (MF) n recent evices: CNF prevails nterface properties LFN measurement in MOFETs: Efficient iagnostic tool of interface properties ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014

3 ntrouction - licon nanowire MOFETs nanowire (NW) MOFETs High immunity against negative effects CE, DBL Gate ource Drain Better electrostatic control Lower OFF, teeper Tri-gate nanowire FET Avantages in further ownscaling an power consumption Aggressively scale FET (channel area) size Difficulty of etaile measurement/characterization (split C-V, charge pumping ) LFN measurement is applicable in scale MOFETs: Powerful iagnosis tool for ultra-scale nanowire evices ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 3

4 Purpose of this work Recent reports of LFN Application to scale multigate evices Double-gate, Tri-gate, Gate-all-aroun but few reports for Contribution of multiple surface orientation Technological evice parameters Tri-gate NWs W. Feng, et al., EDM 011. GAA-NWs Our stuy: O omega-gate NW MOFETs Contribution of surface orientation (N vs. sie-wall surfaces) Technological evice parameters (aitional H anneal, stressor impact) Detaile properties of LFN C. Wei, et al., Electron evice Lett., 009. J. Zhuge, et al., Electron evice Lett., 009. ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 4

5 Outline ntrouction & Motivation O starting omega-gate nanowire evices Experimental results LFN ( / ) behavior in 1ch-omega-gate NWs Flat-ban voltage noise Vfb Gate ie trap ensity N t N t separation: N surface vs. sie-walls - mpact of technological evice parameter - Conclusions ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 5

6 O Omega-gate nanowire MOFETs Technological splits: Channel material: or s [001] Ch. Orientation: [110] or [100] w/ or w/o H anneal process [110] poly H NW 5nm TiN HfON O [100] Gate Gate [001] ource ource [110] [010] Drain Drain C gc [F/m ] PMO EOT~1.5nm =10µm =10nm 50-channel =10µm V g [V] NMO High-k/metal gate stack: HfON/TiN (EOT~1.5nm) Technological splits of -gate NW NMO FETs NW height H NW Narrowest NW top with Gate length O ([110]-oriente) 11nm 13nm 113nm O with H anneal 10nm 11nm 107nm [100]-oriente O 10nm 10nm 108nm traine-o (so) 11nm 11nm 107nm ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 6

7 O Omega-gate nanowire MOFETs poly H NW 5nm -gate NW O ([110]-) with H anneal [100]- O so TiN HfON O V t 0.46V 0.4V 0.48V 0.40V [110] Gate [001] ource DBL (mv/v) ~3 [110] Drain (mv/ec) [100] Gate [001] ource gain on O 34µA/µm (V g =V t =0.9V) +13.7% +4.8% +98.8% [010] Drain (µa/µm) Normalize with W tot = +H NW V =0.9V = nm Narrowest NW V g (V) V =40mV O H anneal [100] -oriente so eal properties; ~60mV/ec & DBL<5mV/V traine-o (so) NW effectively enhances ON ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 7

8 LFN measurement in O -gate NW MOFETs Drain current noise PD measurement Expecte 1/f noise moel: Carrier Number fluctuations with correlate mobility fluctuations (CNF+CMF) moel 1 C eff C g m Vfb g m / vs. frequency shows 1/f noise in 1ch--gate NWs / (/Hz) =13nm, =113nm G. Ghibauo, et al., Phys. tat. ol.(a), vol.14, pp , V g =V t =0.46V V g =0.9V O -gate NW 5 evices V =40mV 1/f Average Frequency, f (Hz) ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 8

9 Normalize rain current noise / vs. 1/f noise moel: CNF+CMF moel 1 C eff C g m Vfb g m W tot / (µm /Hz) O [110]-oriente ( =113nm) =13nm =3nm [100]-oriente ( =108nm) =10nm =0nm /W tot (A) f=10hz V =40mV W tot (g m / ) (µm /V ) W tot = +H NW Goo agreement between / plots an the corresponing (g m / ) curves Our evices own to NW can be interprete by CNF+CMF moel ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 9

10 W tot / (µm /Hz) Normalize rain current noise / vs /f noise moel: CNF+CMF moel H anneal O ( =113nm) =13nm =3nm with H anneal ( =107nm) =11nm =1nm /W tot (A) f=10hz V =40mV W tot (g m / ) (µm /V ) 1 Goo agreement of / plot vs. (g m / ) curve in all technological splits Geometrical ifference between NW an wie FETs is not clearly visible W tot / (µm /Hz) C eff C g so m O ( =113nm) =13nm =3nm so ( =107nm) =11nm =1nm Vfb g m /W tot (A) f=10hz V =40mV W tot (g m / ) (µm /V ) ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

11 Flat-ban voltage noise Vfb : origin & extraction V fb fluctuations correspon to charge fluctuations in gate ie NMO TiN Traps HfON Flat-ban conition for NMO Flat-ban voltage: Carrier (electron) V fb ms V fb is equivalent to Q Q V fb Q C E c E f =E i E v Q C C V fb W tot / (µm /Hz) O [110]-oriente ( =113nm) =13nm =3nm [100]-oriente ( =108nm) =10nm =0nm /W tot (A) f=10hz V =40mV Vfb is carrier number fluctuations (CNF) component W tot (g m / ) (µm /V subthreshol (plateau) region 1 C g m g m Vfb eff C g m Plateau region Vfb g m ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

12 Extracte flat-ban voltage noise Vfb Vfb is carrier number fluctuations (CNF) component Vfb (V /Hz) f=10hz V =40mV = nm O H anneal [100]-oriente so 1/W tot W tot (µm) W tot = +H NW Vfb vs. W tot tren is similar in all technological splits Vfb simply epens on W tot (proportional to ~1/W tot ) ession 5: Device characterization, 11:50 1:10, Wenesay, April 9, 014 1

13 Gate ie trap ensity N t Flat-ban voltage noise Vfb Physical carrier trapping/e-trapping mechanism between ie charge traps an channel surface Tunneling process Vfb q fw ktn t L C tot g (McWorther moel) N t (ev -1 cm -3 ) ~0.1nm: Tunneling attenuation length A.L. McWorther: emiconuctor surface physics, University of Pennsylvania Press,1957. ~4kT Tunneling transitions of electron (i) Direct tunneling (ii) nirect tunneling via interface traps M. von Haartman an M. Östling: Low-frequency noise in avance MO evices, pringer, 007. ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

14 Extracte gate ie trap ensity N t N fw L tot g t q C kt Vfb N t (ev -1 cm -3 ) f=10hz V =40mV = nm W tot (µm) O H anneal [100]-oriente so W tot = +H NW No large alteration by both geometrical (NW vs. wie FET) an technological parameter impacts milar values to state-of-the-art Hf-base high-k/metal gate stack reports (10 17 ~10 19 ev -1 cm -3 ) ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

15 eparation of N t : N vs. sie-wall surfaces Assessment of contributions to ie/channel interface properties between N an sie-wall surfaces (W tot /H NW ) N t ( ev -1 cm -3 ) Oxie trap ensity N t W tot = +H NW ntercept: N t_sie-wall O H anneal [100] -oriente so (µm) N t_top /H NW N t _ tot W H [110] tot NW Gate [001] N W W ource top tot t _ tot N [110] Drain t _ top W H H W top NW [100] N Gate [001] Expecte simple moel NW tot t _ top ource N t _ siewall N [010] Drain t _ siewall Our moel for N t separation can be well emonstrate ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

16 [110] eparation of N t : N vs. sie-wall surfaces Gate [001] ource [110] Drain [100] Gate [001] ource [010] Drain N t ( ev -1 cm -3 ) (100) All (100) planes show constant value Our simple moel is reliable O N t_top N t_sie-wall so [100]-oriente H anneal (110) sie-walls are slightly better than (100) top surfaces Aitional H anneal process an tensile strain (so) slightly egrae (100) top surfaces M. Cassé et al., Appl. Phys. Lett., 96, 13506, 010. ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

17 Conclusions CNF+CMF moel can escribe 1/f noise behavior in all our evices Gate ie trap ensity N t is NOT altere by both geometrical an technological parameters The N t values are in the same orer as values reporte for state-of-the-art Hf-base high-k/metal gate stack N t separation (N vs. sie-walls) can be reliably performe: (110) sie-walls exhibit slightly better property than (100) top surface Aitional H anneal process an tensile strain (so) slightly eteriorate (100) top surfaces ession 5: Device characterization, 11:50 1:10, Wenesay, April 9,

18 Thank you for your attention 18

Study of Si and SiGe on Insulator (SOI&SGOI) by Low frequency Noise Measurements

Study of Si and SiGe on Insulator (SOI&SGOI) by Low frequency Noise Measurements 46th DM, Tsukuba, Japan, eptember 8-11, 014 ession J-6: Ge & ige CMO 9:30 10:40 Thursay, eptember 11, 014 tuy of i an ige on nsulator (O&GO) Omega gate ( gate) Nanowire PMO FETs by Low frequency Noise

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs

Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs Maryam Olyaei, B. Gunnar Malm, Per-Erik Hellström, and Mikael Östling KTH Royal Institute of Technology, Integrated Devices and Circuits, School of Information

More information

Supporting Information

Supporting Information Supporting Information Fabrication and Transfer of Flexible Few-Layers MoS 2 Thin Film Transistors to any arbitrary substrate Giovanni A. Salvatore 1, *, Niko Münzenrieder 1, Clément Barraud 2, Luisa Petti

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Lecture 7 Fiber Optical Communication Lecture 7, Slide 1

Lecture 7 Fiber Optical Communication Lecture 7, Slide 1 Lecture 7 Optical receivers p i n ioes Avalanche ioes Receiver esign Receiver noise Shot noise Thermal noise Signal-to-noise ratio Fiber Optical Communication Lecture 7, Slie 1 Optical receivers The purpose

More information

LECTURE 14. (Guest Lecturer: Prof. Tsu-Jae King) Last Lecture: Today:

LECTURE 14. (Guest Lecturer: Prof. Tsu-Jae King) Last Lecture: Today: LECTURE 14 (uest Lecturer: Prof. Tsu-Jae King) Last Lecture: emiconductors, oping PN Junction iodes iode tructure and I vs. V characteristics iode Circuits Today: N-Channel MOFET tructure The MOFET as

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE) K. Moselund 1, D. Cutaia 1. M. Borg 1, H. Schmid 1, S. Sant 2, A. Schenk 2 and H. Riel 1 1 IBM Research

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

ECEN 325 Lab 11: MOSFET Amplifier Configurations

ECEN 325 Lab 11: MOSFET Amplifier Configurations ECEN 325 Lab : MOFET Amplifier Configurations Objective The purpose of this lab is to examine the properties of the MO amplifier configurations. C operating point, voltage gain, and input and output impedances

More information

THE scaling down of the device area is essential for

THE scaling down of the device area is essential for IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Drain-Current Flicker Noise Modeling in nmosfets From a 14-nm FDSOI Technology Eleftherios G. Ioannidis, Christoforos G. Theodorou, Theano A. Karatsori, Sebastien

More information

Reconfigurable Si-Nanowire Devices

Reconfigurable Si-Nanowire Devices Reconfigurable Si-Nanowire Devices André Heinzig, Walter M. Weber, Dominik Martin, Jens Trommer, Markus König and Thomas Mikolajick andre.heinzig@namlab.com log I d Present CMOS technology ~ 88 % of IC

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

MOSFET in ON State (V GS > V TH )

MOSFET in ON State (V GS > V TH ) ndian nstitute of Technology Jodhpur, Year 08 Analog Electronics (ourse ode: EE34) ecture 8 9: MOSFETs, Biasing ourse nstructor: Shree Prakash Tiwari Email: sptiwari@iitj.ac.in Webpage: http://home.iitj.ac.in/~sptiwari/

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs Australian Journal of Basic and Applied Sciences, 3(3): 1640-1644, 2009 ISSN 1991-8178 Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs 1 1 1 1 2 A. Ruangphanit,

More information

Pentacene thin-film transistors with HfO2 gate dielectric annealed in NH3 or N2O. Deng, LF; Tang, WM; Leung, CH; Lai, PT; Xu, JP; Che, CM

Pentacene thin-film transistors with HfO2 gate dielectric annealed in NH3 or N2O. Deng, LF; Tang, WM; Leung, CH; Lai, PT; Xu, JP; Che, CM Title Pentacene thin-film transistors with HfO gate ielectric anneale in NH3 or NO Author(s) Deng, LF; Tang, WM; Leung, CH; Lai, PT; Xu, JP; Che, CM Citation The 008 IEEE International Conference on Electron

More information

Principal Component Analysis-Based Compensation for Measurement Errors Due to Mechanical Misalignments in PCB Testing

Principal Component Analysis-Based Compensation for Measurement Errors Due to Mechanical Misalignments in PCB Testing Principal Component Analysis-Base Compensation for Measurement Errors Due to Mechanical Misalignments in PCB Testing Xin He 1, Yashwant Malaiya 2, Anura P. Jayasumana 1 Kenneth P. Parker 3 an Stephen Hir

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide

A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide Circuit Level Fault Moel for esistive Shorts of MOS Gate Oxie Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker an Weiping Shi Dept. of Electrical Engineering Texas &M University College Station, TX 77843-34,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Dopant profiling and surface analysis of silicon nanowires using capacitance-voltage measurements Erik C. Garnett 1, Yu-Chih Tseng 4, Devesh Khanal 2,3, Junqiao Wu 2,3, Jeffrey

More information

MODELLING OF GPS SIGNAL LARGE SCALE PROPAGATION CHARACTERISTICS IN URBAN AREAS FOR PRECISE NAVIGATION

MODELLING OF GPS SIGNAL LARGE SCALE PROPAGATION CHARACTERISTICS IN URBAN AREAS FOR PRECISE NAVIGATION Int. J. Elec&Electr.Eng&Telcomm. 2012 G Sateesh Kumar et al., 2012 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 1, No. 1, October 2012 2012 IJEETC. All Rights Reserve MODELLING OF GPS SIGNAL LARGE

More information

Tunnel FET C-V modeling: - Impact of TFET C-V characteristics on inverter circuit performance

Tunnel FET C-V modeling: - Impact of TFET C-V characteristics on inverter circuit performance Tunnel FET C-V modeling: - Impact of TFET C-V characteristics on inverter circuit performance Chika Tanaka, Tetsufumi Tanamoto, and Masato Koyama Corporate R&D Center, Toshiba Corporation 2017 Toshiba

More information

MOSFET Modeling for Low Noise, RF Circuit Design

MOSFET Modeling for Low Noise, RF Circuit Design MOFET Moeling for Low Noise, RF Circuit esign M. Jamal een an Chih-Hung Chen epartment of Electrical an Computer Engineering, McMaster University, Hamilton, ON, Canaa Yuhua Cheng Conexant ystems, Newport

More information

EE 171. MOS Transistors (Chapter 5) University of California, Santa Cruz May 1, 2007

EE 171. MOS Transistors (Chapter 5) University of California, Santa Cruz May 1, 2007 EE 171 MOS Transistors (Chapter 5) Uniersity of California, Santa Cruz May 1, 007 FET: Fiel Effect Transistors MOSFET (Metal-Oxie-Semiconuctor) N-channel (NMOS) P-channel (PMOS) Enhancement type (V to

More information

Wave-Induced Fluctuations in Underwater Light Field: Analysis of Data from RaDyO Experiments

Wave-Induced Fluctuations in Underwater Light Field: Analysis of Data from RaDyO Experiments DISTRIBUTION STATEMENT A. Approve for public release; istribution is unlimite. Wave-Inuce Fluctuations in Unerwater Light Fiel: Analysis of Data from RaDyO Experiments Dariusz Stramski Marine Physical

More information

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Design of Gate-All-Around Tunnel FET for RF Performance

Design of Gate-All-Around Tunnel FET for RF Performance Drain Current (µa/µm) International Journal of Computer Applications (97 8887) International Conference on Innovations In Intelligent Instrumentation, Optimization And Signal Processing ICIIIOSP-213 Design

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

AN-1140 APPLICATION NOTE

AN-1140 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwoo, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Microphone Array Beamforming by Jera Lewis INTRODUCTION All MEMS microphones

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Field Visualization by Image Processing

Field Visualization by Image Processing The 0th International Symposium on Flow Visualiation August 6-9, 00, Kyoto, Japan F008 Fiel Visualiation by Image Processing Image refining an fiel characteristics arinova, I. *, Eno, H. *, Hayano, S.

More information

Modelling of electronic and transport properties in semiconductor nanowires

Modelling of electronic and transport properties in semiconductor nanowires Modelling of electronic and transport properties in semiconductor nanowires Martin P. Persson,1 Y. M. Niquet,1 S. Roche,1 A. Lherbier,1,2 D. Camacho,1 F. Triozon,3 M. Diarra,4 C. Delerue4 and G. Allan4

More information

SECONDARY TRANSMISSION POWER OF COGNITIVE RADIOS FOR DYNAMIC SPECTRUM ACCESS

SECONDARY TRANSMISSION POWER OF COGNITIVE RADIOS FOR DYNAMIC SPECTRUM ACCESS SECONDARY TRANSMISSION POWER OF COGNITIVE RADIOS FOR DYNAMIC SPECTRUM ACCESS Xiaohua Li 1 1 Department of ECE State University of New York at Binghamton Binghamton, NY 139, USA {xli,jhwu1}@binghamton.eu

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Shot-noise suppression effects in InGaAs planar diodes at room temperature

Shot-noise suppression effects in InGaAs planar diodes at room temperature Journal of Physics: Conference Series PAPE OPEN ACCESS Shot-noise suppression effects in InGaAs planar diodes at room temperature To cite this article: Ó García-Pérez et al 05 J. Phys.: Conf. Ser. 647

More information

Low On-Resistance Trench Lateral Power MOS Technology

Low On-Resistance Trench Lateral Power MOS Technology Low On-Resistance Trench Lateral Power MO Technology Akio ugi Mutsumi awada Naoto Fujishima 1. Introduction Market demands for smaller sized, lighter weight, lower power consuming and higher efficiency

More information

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies WHITE PAPER Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies Pete Hulbert, Industry Consultant Yuegang Zhao, Lead Applications Engineer Keithley Instruments, Inc. AC, or pulsed,

More information

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs

Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Modeling the Influence of Dielectric Interface Traps on I-V Characteristics of TFETs Jie Min 1, Peter Asbeck UCSD 1 Present address: Global Foundries, Santa Clara, CA Schematic TFET Structures Based on

More information

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS Marcelo Antonio Pavanello *, João Antonio Martino and Denis Flandre 1 Laboratório de Sistemas Integráveis Escola Politécnica

More information

Radio-frequency scanning tunneling microscopy

Radio-frequency scanning tunneling microscopy doi: 10.1038/nature06238 SUPPLEMENARY INFORMAION Radio-frequency scanning tunneling microscopy U. Kemiktarak 1,. Ndukum 2, K.C. Schwab 2, K.L. Ekinci 3 1 Department of Physics, Boston University, Boston,

More information

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs J. A. del Alamo, X. Zhao, W. Lu, and A. Vardi Microsystems Technology Laboratories Massachusetts Institute of Technology 5 th Berkeley

More information

IV curves of different pixel cells

IV curves of different pixel cells IV curves of different pixel cells 6 5 100 µm pitch, 10µm gap 100 µm pitch, 50µm gap current [pa] 4 3 2 1 interface generation current volume generation current 0 0 50 100 150 200 250 bias voltage [V]

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Light management in photovoltaics using nanotechnology

Light management in photovoltaics using nanotechnology Light management in photovoltaics using nanotechnology Albert Polman Center for Nanophotonics FOM-Institute AMOLF Amsterdam, The Netherlands Solar irradiance on earth assuming 30% PV, 175 W/m 2 Solar

More information

IN GENERAL, an optical network can consist of a

IN GENERAL, an optical network can consist of a 1 Geometric Capacity Provisioning for Wavelength-Switche WDM Networks Li-Wei Chen, Eytan Moiano Abstract In this paper, we use an asymptotic analysis similar to the sphere-packing argument in the proof

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design 9/25/2002 Jun Yuan, Peter M. Zeitzoff*, and Jason C.S. Woo Department of Electrical Engineering University

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research)

International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

problem grade total

problem grade total Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Name: Recitation: November 16, 2005 Quiz #2 problem grade 1 2 3 4 total General guidelines (please read carefully before starting):

More information

Noise induced and enhanced signal processing in nanoelectronics

Noise induced and enhanced signal processing in nanoelectronics Noise induced and enhanced signal processing in nanoelectronics F. Hartmann 1, S. Höfling 1, A.Dari 2 A. Forchel 1, L. Gammaitoni 2 and L. Worschech 1 1 Technische Physik, Physikalisches Institut, Universität

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Chapter 2 Review of the PWM Control Circuits for Power Converters

Chapter 2 Review of the PWM Control Circuits for Power Converters Chapter 2 Review of the PWM Control Circuits for Power Converters 2. Voltage-Moe Control Circuit for Power Converters Power converters are electrical control circuits that transfer energy from a DC voltage

More information

A new quasi-2d analytical threshold-voltage model for partially-depleted. short-channel SOI MOSFET

A new quasi-2d analytical threshold-voltage model for partially-depleted. short-channel SOI MOSFET A new qua-d analtical threshol-voltage moel or partiall-eplete short-channel SO MOSFET KWO-MNG HANG, HAN-PANG WANG Department o Electronics Engineering, National hiao-tung Univert, Hnchu, Taiwan 3, R.

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Origin of the Low-Frequency Noise in the Asymmetric Self-Cascode Structure Composed by Fully Depleted SOI nmosfets

Origin of the Low-Frequency Noise in the Asymmetric Self-Cascode Structure Composed by Fully Depleted SOI nmosfets Origin of the Low-Frequency Noise in the symmetric Self-Cascode Structure Composed by Fully Depleted SOI nmosfets Rafael ssalti 1, Rodrigo Trevisoli Doria 1, Denis Flandre and Michelly de Souza 1 1 Department

More information

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes

Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Fabrication of High-Speed Resonant Cavity Enhanced Schottky Photodiodes Abstract We report the fabrication and testing of a GaAs-based high-speed resonant cavity enhanced (RCE) Schottky photodiode. The

More information

A Photo Junction Field-Effect Transistor. (photojfet) Based on a Colloidal Quantum Dot. Absorber/Channel Layer

A Photo Junction Field-Effect Transistor. (photojfet) Based on a Colloidal Quantum Dot. Absorber/Channel Layer SUPPORTING INFORMATION A Photo Junction Field-Effect Transistor (photojfet) Based on a Colloidal Quantum Dot Absorber/Channel Layer Valerio Adinolfi ɫ, Illan J. Kramer ɫ, Andre J. Labelle ɫ, Brandon R.

More information

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I MEASUREMENT AND INSTRUMENTATION STUDY NOTES The MOSFET The MOSFET Metal Oxide FET UNIT-I As well as the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available

More information

THREE DIMENSIONAL SIMULATION STUDY OF FULLY DEPLETED SILICON ON INSULATOR MOSFET (SOI MOSFET) BY SEPARATION OF VARIABLE METHOD

THREE DIMENSIONAL SIMULATION STUDY OF FULLY DEPLETED SILICON ON INSULATOR MOSFET (SOI MOSFET) BY SEPARATION OF VARIABLE METHOD International Journal of Electronics, Electrical an Computational System ISSN 348-7X November 04 THREE DIMENSIONAL SIMULATION STUDY OF FULLY DEPLETED SILICON ON INSULATOR MOSFET (SOI MOSFET) BY SEPARATION

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 49 CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 3.1 INTRODUCTION A qualitative notion of threshold voltage V th is the gate-source voltage at which an inversion channel forms, which

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Organic Electronic Devices

Organic Electronic Devices Organic Electronic evices Week 3: harge ransport ecture 3.5: Organic Field-Effect ransistors Bryan W. Boudouris hemical Engineering Purdue University 1 ecture Overview and earning Objectives oncepts to

More information

High Performance Visible-Blind Ultraviolet Photodetector Based on

High Performance Visible-Blind Ultraviolet Photodetector Based on Supplementary Information High Performance Visible-Blind Ultraviolet Photodetector Based on IGZO TFT Coupled with p-n Heterojunction Jingjing Yu a,b, Kashif Javaid b,c, Lingyan Liang b,*, Weihua Wu a,b,

More information

5. CMOS Gates: DC and Transient Behavior

5. CMOS Gates: DC and Transient Behavior 5. CMOS Gates: DC and Transient Behavior Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 September 18, 2017 ECE Department, University

More information

Thermal management and thermal properties of high-brightness diode lasers

Thermal management and thermal properties of high-brightness diode lasers Thermal management and thermal properties of high-brightness diode lasers Jens W. Tomm Max-Born-Institut für Nichtlineare Optik und Kurzzeitspektroskopie Berlin Max-Born-Str. 2 A, D-12489 Berlin, Germany

More information

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum Barrier Engineering g Scaling Limitations of Flash Memory Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ Source Floating Gate NAND Device 1 Control gate ONO Floating gate Oxide Drain

More information

Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for. Lithium-ion Batteries

Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for. Lithium-ion Batteries Magnesium and Magnesium-Silicide coated Silicon Nanowire composite Anodes for Lithium-ion Batteries Alireza Kohandehghan a,b, Peter Kalisvaart a,b,*, Martin Kupsta b, Beniamin Zahiri a,b, Babak Shalchi

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits Saravana Maruthamuthu, Wireless Group Infineon Technologies India Private

More information

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model Week 9a OUTLINE MOSFET I vs. V GS characteristic Circuit models for the MOSFET resistive switch model small-signal model Reading Rabaey et al.: Chapter 3.3.2 Hambley: Chapter 12 (through 12.5); Section

More information

Testing, Characterization, and Modeling of SiC Diodes for Transportation Applications

Testing, Characterization, and Modeling of SiC Diodes for Transportation Applications Testing, haracterization, an Moeling of ioes for Transportation Applications Burak Ozpineci 1,3 burak@ieee.org Leon M. Tolbert 1,2 tolbert@utk.eu Sye K. slam 1 sislam@utk.eu Fang Z. Peng 2,4 fzpeng@msu.eu

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

55:041 Electronic Circuits

55:041 Electronic Circuits 55:041 Electronic Circuits MOSFETs Sections of Chapter 3 &4 A. Kruger MOSFETs, Page-1 Basic Structure of MOS Capacitor Sect. 3.1 Width = 1 10-6 m or less Thickness = 50 10-9 m or less ` MOS Metal-Oxide-Semiconductor

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

PSP model update. Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen (NXP Semiconductors) Ramses van der Toorn (Delft University of Technology)

PSP model update. Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen (NXP Semiconductors) Ramses van der Toorn (Delft University of Technology) PSP model update Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen (NXP Semiconductors) Ramses van der Toorn (Delft University of Technology) MOS-AK, San Francisco 12 December 2012 outline some history

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information