Advanced Digital Design

Size: px
Start display at page:

Download "Advanced Digital Design"

Transcription

1 Advanced Digital Design The Synchronous Design Paradigm A. Steininger Vienna University of Technology

2 Outline The Need for a Design Style The ideal Method Requirements The Fundamental Problem Timed Communication Model Synchronous Design as a Solution Pros & Cons of Synchronous Design Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 2

3 recall Why a Design Stlye? Skew is inevitable and unpredictable It causes inconsistent transient states Their logic evaluation causes glitches Boolean Logic forms a digital abstraction, assuming continuous signal validity & consistency In addition, we need a design style to maintain these abstractions in the presence of skew Lecture "Advanced Digital Design" A. Steininger / TU Vienna 3

4 recall Without a Design Style combinational gates may, due to race conditions, receive contradictory inputs simultaneously, hence create glitch or runt pulses that may be converted into erroneous stable states or even cause metastability in storage loops. These glitches, runts and/or manifestations of metastability may propagate, and they may be subject to Byzantine interpretation, causing further erroneous states. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 4

5 The Chip Design Crisis hard physical limits impede miniaturization designer productivity gap hard physical limits impede speed-up heat problems short time-to-market power delivery problems increasing transient fault rates excessive test complexity increasing NRE costs Do we need a new ( revolutionary ) design approach? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 5

6 A Fair Comparison Severe technological problems force us to question the synchronous design practice. Alternatives must be critically evaluated with respect to improvements concerning area ( embedded, intelligent, cost ) power (mobile devices, heat, ) performance (as always) designability (efficient design of complex sys.) verifiability (test & validation cost!) robustness (critical apps, higher fault rates, ) Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 6

7 Performance Performance has been improved by Transistor scaling (technology) Architectrural advances (pipelines, caches, prediction, ) Parallelization (vector operations, multicore, ) The design style has remained unchanged! Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 7

8 Verification Need to make sure that implementation matches specification: all desired functions available no undesired behavior 70% of time spent on verification Model-based approach: spec transformed into (high-level) model model properties formally verified model is implemented in HW & SW BUT: how check implementation vs. model?? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 8

9 Test Test complexity rises with more than O(n 2 ) with circuit complecity It will soon cost more to test a transistor than to manufacture it log test costs const t [ITRS] Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 9

10 Transient Faults occur times more often than permanent faults today originate from storage elements being upset (directly or indirectly) can only be caused by disturbances with an energy larger than that stored in the affected cell are often caused by particle hits (single event upsets: SEUs) Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 10

11 Fault Rate Predictions energy stored in a storage element scales with feature size power supply energy distribution of particles is non-linear significantly more particles towards lower energy fault potential largely increases with every technology node Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 11

12 Fault Mitigation stopping miniaturization is not an option technology (materials, shielding, ) reduces fault rate per transistor but still overall increase per chip robust circuit design Several techniques applied, but Design style not changed system-level fault tolerance current solution, expensive (typ. 3x) Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 12

13 A First Summary An ideal design method minimizes power consumption miminizes area overhead naturally supports intuitive design naturally aids testability yields robust circuits yields fast circuits. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 13

14 Terminology consistent DW: all bits belong to the same context valid signal: result of function applied to consistent DW Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 14

15 What we actually need completion detection problem When can SNK use its input? When it is valid and consistent SRC f(x) SNK When can SRC apply the next input? When SNK has consumed the previous one input/output mode operation, requires indication principle Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 15

16 Timed Comm. Model contamination delay for details see: M. Delvai, A. Steininger. Solving the fundamental Problem of Digital Design A Systematic Review of Design Methods, 9th Euromicro Conference on System Design, Dubrovnik Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 16

17 The Capture Condition Control TRGSNK: Have SNK capture data only after it has become consistent. Formal Condition: t cons,x > t snkrdy,x µ snk > - snktrg Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 17

18 The Issue Condition Control TRGSRC: Have SRC issue the next data word such that the current one can still be safely consumed by SNK. Formal Condition: t invalid,x > t safe,x µ src > - invalid Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 18

19 Our Options We must only use consistent input vectors How can we tell an input vector is consistent? (1) use TIME to mark consistent phases synchronous approach / global time base asynchronous/bounded delay (2) use CODING to add information asynchronous/delay insensitive Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 19

20 Control by Global Time Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 20

21 The Synchronous Concept FF1 f(x) FF2 T Clk Pure time domain solution: use periodic clock edges to derive triggers for SRC & SNK; determine period such that capture condition and issue condition are always fulfilled. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 21

22 Synchr. Timing Model How does the synchronous design fit into the timing model of global time? What is π? What is ϕ? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 22

23 The Implications Clock Period T Clk = Period π determined by static timing analysis Free choice of µ snk : capture condition Phase ϕ = π (!) this implies that µ src = -( snktrg + cons ) still we must guarantee µ src > - invalid (issue condition) Therefore it must hold that invalid > snktrg + cons (No freedom to choose!) Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 23

24 Benefits of Sync. Logic Simplicity improves productivity time is considered discrete (!) design on high level of abstraction transients are irrelevant, all considered states are clearly defined timing analysis separate, after design clear distinction between data & clock Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 24

25 Benefits of Sync. Logic (2) High implementation efficiency: one single control signal for the complete system! periodic clock is easy to generate single-rail data coding minimum number of transitions on the data rails clock also provides a time base Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 25

26 Resume 1 Synchronous design does work billions of working designs Synchronous design is VERY efficient wrt. design (intuition) wrt. implementation (area) So everything is solved Is it? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 26

27 recall The Original Problem When can SNK use its input? When it is valid and consistent SRC f(x) SNK When can SRC apply the next input? When SNK has consumed the previous one Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 27

28 recall What have we done? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 28

29 That damned traffic light YES! It does matter Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 29

30 That damned Traffic light number of waiting cars Microwave oven temperature of the food Wiper visibility through the front shield Stairway light presence of a person in the stairway Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 30

31 What s wrong? As time is easy to measure, a projection is often made for the relative time between the occurrence of an event in the past and one expected in the future instead of directly observing the latter. This requires a model to be established, expressing how the quantity of interest relates to time. This becomes annoying when this artificial relation between actual event and time is so weak that either the model prediction gets too fuzzy, or the model too complicated. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 31

32 Pre-Determined Timing Designer User projected conditions system model?(unknown) worst case?(imperfections) safety margins actual conditions actual system Timing completely fixed after design No way to react to actual conditions & system Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 32

33 The Synchronous Approach FF1 f(x) FF2 T Clk After some TIME T clk FF2 can use f(x) s output and at the same time FF1 can apply a new input Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 33

34 The annoying consequences need to determine clock period circuit functionality is technology dependent substantial design efforts, large design loops need to make worst-case assumptions necessarily pessimistic (corner cases) still no robustness wrt. exceeding them need to maintain global synchrony clock distribution problems (skew!) power consumption problems Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 34

35 Timing Analysis not possible before the end of the design flow (large iteration loops!) gate delays interconnect delays P-variations VT-variations Specification Design-Entry Synth. & Technol.-Mapping Partitioning & Placement Routing Manufact. Operation Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 35

36 Worst-Case Assumptions normally too pessimistic real, chip could run faster no tolerance when exceeded graceful degradation desirable Η(α) α lim α Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 36

37 The Clock Skew Problem What happens if we move SRC time against SNK time? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 37

38 Sync. Design Properties Area: + single rail encoding, single clock line - clock network Power: + small, efficient circuit - clock net, permanent concurrent switching Performance: + pure feed-forward flow control - worst case design, safety margins Designability: + good abstraction level for logic - timing analysis complex, composability? Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 38

39 Robustness metastability Issues clock = single point of failure non-redundant signal coding no graceful degradation timing margins help masking faults but they are shrinking! synchrony is a very strong assumption it takes a lot of efforts to maintain it assumption coverage is lower Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 39

40 Experimental Results Fault Injection Results for SPEAR [Thesis Rahbaran] PhD Delvai: Design asynchronous processor SPEAR PhD Huber: Design Flow & Validation asyn SPEAR PhD Rahbaran: Robustness Comparison syn/asyn Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 40

41 Fault Masking Effects electrical masking too short fault pulse is filtered out by (parasitic) low-passes logical masking faults on masked gate inputs are irrelevant temporal masking signal values are considered only shortly before/during latching window; faults go unrecognized when outside Diploma thesis: Fault masking syn vs. asyn 0 & 0 Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 41

42 Testability Test Pattern Generator register chain register chain comb logic comb logic comb logic register chain Response Analysis Scan test turns sequential problem into combinational one => hard to beat! Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 42

43 Conclusion An analysis of the data transfer process allows mapping the trigger conditions for data source and sink to the time domain, yielding an issue condition and a capture condition. A convenient mapping to a purely time based solution is used by some design styles, in particular the synchronous design. This mapping is, however, not natural. As an alternative signal coding may be used to control the triggers of source and sink. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 43

44 Conclusion Synchronous design is extremely efficient wrt. design and testing. It builds on a relation between handshake events and time that becomes increasingly cumbersome. Weak points are inherent robustness and composability Power efficiency, area efficiency and performance efficiency are very good in principle, but limitations in clock distributions tend to foil these benefits. Lecture "Advanced Digital Design" A. Steininger & M. Delvai / TU Vienna 44

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Limits of Synchonous Design by A. Steininger and M. Delvai Vienna University of Technology recall Previous Conclusion The purpose of a design style is to provide information for

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design The Need for a Design Style by A. Steininger Vienna University of Technology Outline Skew versus consistency The need for a design style Hazards, Glitches & Runts Lecture "Advanced

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Timing Issues in FPGA Synchronous Circuit Design

Timing Issues in FPGA Synchronous Circuit Design ECE 428 Programmable ASIC Design Timing Issues in FPGA Synchronous Circuit Design Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 1-1 FPGA Design Flow Schematic capture HDL

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

A Brief History of Timing

A Brief History of Timing A Brief History of Timing David Hathaway February 28, 2005 Tau 2005 February 28, 2005 Outline Snapshots from past Taus Delay modeling Timing analysis Timing integration Future challenges 2 Tau 2005 February

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 48 Testing of VLSI Circuits So, welcome back. So far in this

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 2018 Timing Analysis Lecture 11: 1 Announcements Lab report guidelines are uploaded on CMS As part of the assignment for Lab 3 report Lab 4(A) prelab

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWare http://ocw.mit.edu 6.004 Computation Structures Spring 009 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. The Digital Abstraction

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

EC O4 403 DIGITAL ELECTRONICS

EC O4 403 DIGITAL ELECTRONICS EC O4 403 DIGITAL ELECTRONICS Asynchronous Sequential Circuits - II 6/3/2010 P. Suresh Nair AMIE, ME(AE), (PhD) AP & Head, ECE Department DEPT. OF ELECTONICS AND COMMUNICATION MEA ENGINEERING COLLEGE Page2

More information

The Digital Abstraction

The Digital Abstraction The Digital Abstraction 1. Making bits concrete 2. What makes a good bit 3. Getting bits under contract Handouts: Lecture Slides L02 - Digital Abstraction 1 Concrete encoding of information To this point

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright Geared Oscillator Project Final Design Review Nick Edwards Richard Wright This paper outlines the implementation and results of a variable-rate oscillating clock supply. The circuit is designed using a

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Design of synchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Weidong Kuang, Member IEEE, Peiyi Zhao, Member IEEE, J.S. Yuan, Senior Member, IEEE, and R. F. DeMara, Senior

More information

The Digital Abstraction

The Digital Abstraction The Digital Abstraction 1. Making bits concrete 2. What makes a good bit 3. Getting bits under contract 1 1 0 1 1 0 0 0 0 0 1 Handouts: Lecture Slides, Problem Set #1 L02 - Digital Abstraction 1 Concrete

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

14:332:231 DIGITAL LOGIC DESIGN. Gate Delays

14:332:231 DIGITAL LOGIC DESIGN. Gate Delays 4:332:23 DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering all 23 Lecture #8: Timing Hazards Gate Delays hen the input to a logic gate is changed, the output will not

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah

To Boldly Do What Can t Be Done: Asynchronous Design for All. Kenneth S. Stevens University of Utah To Boldly Do What Can t Be Done: Asynchronous Design for All Kenneth S. Stevens University of Utah 1 Scaling Moore s Law transistor counts double every one to two years Cost has followed inverse trend

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Module 4: Combinational Logic Glitches and Hazards

Module 4: Combinational Logic Glitches and Hazards Module 4: Combinational Logic Glitches and Hazards Wakerly: Chapter 4 (part 3) : ECEN 3233 r. Keith. Teague Spring 23 23 TIME RESPONSE in Combinational Networks emphasis on timing behavior of circuits

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

FPGA Design Process Checklist

FPGA Design Process Checklist FPGA Design Process Checklist Martin Fraeman Pete Eisenreich JHU/APL Laurel, MD 9/6/04 MAPLD 2004 1 Checklist Motivation Develop a process to consistently design FPGAs for space applications Useful to

More information

Welcome to 6.S084! Computation Structures (special)

Welcome to 6.S084! Computation Structures (special) Welcome to 6.S084! Computation Structures (special) Spring 2018 6.S084 Course Staff Instructors Arvind arvind@csail.mit.edu Daniel Sanchez sanchez@csail.mit.edu Teaching Assistants Silvina Hanono Wachman

More information

How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K.

How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K. How to design little digital, yet highly concurrent, electronics? Alex Yakovlev Newcastle University Newcastle upon Tyne, U.K. Outline Little Digital electronics: Why going asynchronous? Six Asynchronous

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

HIGH-performance microprocessors employ advanced circuit

HIGH-performance microprocessors employ advanced circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 5, MAY 1999 645 Timing Verification of Sequential Dynamic Circuits David Van Campenhout, Student Member, IEEE,

More information

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply Voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

Non-linear Control. Part III. Chapter 8

Non-linear Control. Part III. Chapter 8 Chapter 8 237 Part III Chapter 8 Non-linear Control The control methods investigated so far have all been based on linear feedback control. Recently, non-linear control techniques related to One Cycle

More information

64-Macrocell MAX EPLD

64-Macrocell MAX EPLD 43B CY7C343B Features 64 MAX macrocells in 4 LABs 8 dedicated inputs, 24 bidirectional pins Programmable interconnect array Advanced 0.65-micron CMOS technology to increase performance Available in 44-pin

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Safety Memo Kit Checkout Form Lecture slides Lectures: Chris Terman TAs: Karthik Balakrishnan HuangBin

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for - Proceedings of the 1989 International Conference on Systolic Arrays, Killarney, Ireland EXPLOITING THE INHERENT FAULT ARRAYS. TOLERANCE OF ASYNCHRONOUS Rodney Me GoodmAn Anthony McAuley Kathleen Kramer

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Memory, Latches, & Registers

Memory, Latches, & Registers Memory, Latches, & Registers 1) Structured Logic Arrays 2) Memory Arrays 3) Transparent Latches 4) Saving a few bucks at toll booths 5) Edge-triggered Registers Friday s class will be a lecture rather

More information

HAZARDS AND PULSE MODE SEQUENTIAL CIRCUITS

HAZARDS AND PULSE MODE SEQUENTIAL CIRCUITS Chapter 19 HAZARDS AND PULSE MODE SEQUENTIAL CIRCUITS Ch19L5-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 1 Lesson 5 Dynamic Hazards, Essential Hazards and Pulse mode sequential

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Lecture slides Lectures: Ike Chuang Chris Terman TAs: Javier Castro Eric Fellheimer Jae Lee Willie

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Run-Length Based Huffman Coding

Run-Length Based Huffman Coding Chapter 5 Run-Length Based Huffman Coding This chapter presents a multistage encoding technique to reduce the test data volume and test power in scan-based test applications. We have proposed a statistical

More information

Application Note 0009

Application Note 0009 Recommended External Circuitry for Transphorm GaN FETs Application Note 9 Table of Contents Part I: Introduction... 2 Part II: Solutions to Suppress Oscillation... 2 Part III: The di/dt Limits of GaN Switching

More information

Low Power Design in VLSI

Low Power Design in VLSI Low Power Design in VLSI Evolution in Power Dissipation: Why worry about power? Heat Dissipation source : arpa-esto microprocessor power dissipation DEC 21164 Computers Defined by Watts not MIPS: µwatt

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

Research Statement. Sorin Cotofana

Research Statement. Sorin Cotofana Research Statement Sorin Cotofana Over the years I ve been involved in computer engineering topics varying from computer aided design to computer architecture, logic design, and implementation. In the

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design : Conventions, Problems, Solutions Prof. blj@ece.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information