ASCENT Open Access to 14nm PDKs T. Chiarella, N. Cordero, O. Faynot on behalf of the ASCENT teams

Size: px
Start display at page:

Download "ASCENT Open Access to 14nm PDKs T. Chiarella, N. Cordero, O. Faynot on behalf of the ASCENT teams"

Transcription

1 ASCENT Open Access to 14nm PDKs T. Chiarella, N. Cordero, O. Faynot on behalf of the ASCENT teams 11/09/2017 MOS AK ESSDERC 2017 Leuven, BE

2

3 Content ASCENT in a nutshell Individual offers description Tyndall Overview Quick look at specific cases Leti Overview Quick look at specific cases Imec Overview Quick look at specific cases Virtual Access Overview and content

4 ASCENT infrastructure ASCENT combines Tyndall, imec and CEA-Leti s nanofabrication & electrical characterisation capabilities into a single research infrastructure and makes it accessible to all

5 Access Provided State-of-the-art 14 nm bulk FDSOI CMOS devices Fabrication facilities for nanowires & 2D materials State-of-the-art 14 nm FinFET CMOS Advanced transistor and interconnect test structures Advanced nanowire and nanoelectrode test structures Advanced transistor and interconnect test structures Electrical & physical characterization platforms Electrical & physical characterization platforms Electrical & nanocharacterisation platforms

6 Offers

7 Tyndall Offer and Inquiries

8 Tyndall s offer-overview Access to Tyndall FlexiFab for non-standard processing Si nano-wire test chips with range of devices Access to Tyndall electrical test labs Access to Tyndall device characterization facilities

9 Tyndall FlexiFab Range of cleanrooms designed for flexible process & product development Silicon MOS Fabrication MEMS Fabrication Compound Semiconductor Fabrication Photonics Fab Training Facility e-beam Lithography Non-standard nano-processing

10 Electrical & Physical Characterisation Labs Open Access Test Lab Nanoscale Test Lab Reliability Test Lab Wide range of test equipment for device and wafer testing e.g.: impedance, capacitance, voltage, current, spectrum analysers, Variable Temperature, Micromanipulator Probe Stations Wide range of test equipment for packaged devices Electron Microscopy Facility Nanoscale Characterisation High Resolution TEM, SEM and FIB, EDAX capability AFM, SEM and electrical characterisation Optical Spectroscopy Labs Raman & Optical Spectroscopy, fluorescence microscopy Magnetic Characterisation Package Characterisation SQUID magnetometer for nano magnetic materials Scanning Acoustic microscope, X-ray analysis

11 Users Completed & running Ascent010-Spain Electrical/physical characterisation of rgo Facilities: metal deposition, elec/phys characterisation Visit Effort/usage: 10 person.day (plan: 9 p.d) Ascent023-Romania Metal-Insulator-Metal diodes Facilities: clean room, AFM, TEM Remote Effort/usage: 16 p.d (plan: 11 p.d) Ascent029-Netherlands Nanowires for monolayer doping Facilities: e-beam lithography, elec. characterisation Remote Effort/usage: 7.5 p.d (plan: 9 p.d) Ascent030-Spain Characterisation of 2D MESFETs (high-k under electrical stress) Facilities: Electrical characterisation Visit Effort/usage: 13 p.d (as planned) Ascent059-Ukraine Low-T hydrogen plasma of III-V MISFETs Facilities: Electrical characterisation Visit Effort/usage: 10 p.d (as planned) Ascent034-Bulgaria Fabrication of nanoscale fingers for SAW tweezers Facilities: e-beam lithography, metal deposition Remote Effort/usage: 9 p.d Ascent044-Italy Molecular doping of Si nanowires Facilities: test chips, elec. characterisation Remote Effort/usage: 9 p.d Ascent046-Greece Metal-oxide heterostructures Facilities: TEM Visit Effort/usage: 10 p.d Ascent048-Slovenia Fabrication of nanoscale comb capacitor Facilities: e-beam lithography, metal deposition Remote Effort/usage: 8 p.d Ascent050-Germany TEM investigation of topological insulators Facilities: TEM Visit + Remote Effort/usage: 10 p.d Ascent055-Japan 2D nanoparticle array Facilities: Physical and optical characterisation Visit Effort/usage: 12 p.d Ascent064-Japan Nanoscale ferroelectrics Facilities: metal deposition, elec. characterisation Visit Effort/usage: 12 p.d Ascent077-Italy Fabrication of High Q-factor Asymmetric Nanobeams Facilities: EBL, Dielectric deposition/etching, SEM Visit Effort/usage: 41 p.d Ascent081-Romania Metal-Insulator-Metal (MIM) diodes fabrication Facilities: metal/dieletric deposition, elec. Character. Remote Effort/usage: 20 p.d Ascent093-India Exchange Bias for Future Memory Devices Facilities: SQUID Remote Effort/usage: 15 p.d India Japan

12 Ascent Spain Electrical/physical characterisation of rgo Facilities: Metal deposition (Ni, Ti, Cr, Au), Elec/phys characterisation Visit Effort/usage: 10 person.day Metal Deposition Electrical Charac.

13 Ascent Romania Fabrication Metal-Insulator-Metal diodes Facilities used: FlexiFab, AFM, Electrical characterisation TEM Remote Effort/usage: 16 p.d TEM AFM Electrical characterisation

14 Leti Offer and Inquiries

15 Cea-Leti s offer-overview 300mm wafers with planar FDSOI and Nanowire devices SPICE models and model cards for digital 14nm FDSOI/10nm FDSOI/10nm FFSOI Electrical & Physical Characterization Capabilities TCAD decks FDSOI MOSFET/Trigate SOI Nanowire GAA Nanowire MOSFET (mainly electrostatics)

16 Leti s Offer Electrical Characterisation Capabilities General purpose I(V)-C(V) 200/300mm testers Temperature range for test on wafers: 2K 600 C Test systems for memories... HF tests up to 40 MHz, Noise measurements Reliability tests: hot carriers, TDDB, charge pumping, Internal Photo Emission Emission microscopy (visible & infrared) Electrical test under calibrated strain High power tests (10kV, >100A) on 300mm prober Deep Level Transient Spectroscopy Electrostatic discharges, Electromigration Oven and climatic environments 450m²

17 Leti s Offer Physical Characterisation Capabilities Available systems and methods Atomic Force Microscopy Dimension AFM Icon/Fast Scan Bruker working under glovebox (O2, H 2 O <1 ppm) High Resolution Transmission Electron Microscopy FEI TECNAI G2 F 20 FEI TITAN THEMIS kv ToF-SIMS ION TOF ToF SIMS 5 Atom Probe Tomography CAMECA FlexTAP Atom probe XRD (X-ray Diffraction) Diffractometer Smartlab RIGAKU 5 circles XPS (X-ray Photoelectron Spectroscopy) Spectrometer/microscope PHI VERSA PROBE II Ellipsometer Ultraviolet-visible ellipsometer HORIBA JOBIN YVON UVISEL

18 Users Completed & running Running: #069: Atom Probe Tomography (Ireland) #070: SIMS depth profiling done on silicon samples to get a doping profile (Ireland) #072: ToF-SIMS (if possible through Leti) on around 15 GaN samples (Ireland) #073: Atom Probe Tomography (Ireland) #074: Wafer and Junctionless device characterization (Greece) 3 Under discussion: #058: Access to PDK, including RF models (Greece) #061: PDK and SPICE model(ireland) #080: XPS and HRTEM characterisation of Al doped ZnO (AZO) (Romania) 1 submitted proposal: #087: Low Frequency Noise Analysis (South Korea)

19 Ascent Spain Access to LETI 300mm wafers with Nanowire devices for characterization and study of advanced nanodevices in the characterization facilities of the Nanoelectronics Lab of UGR. Wafer delivery Metal Deposition

20 Imec Offer and Inquiries

21 Access to Silicon Bulk FinFET Technology Electrical Characterization Capabilities Test chips documentation and data (Virtual Access) FinFET and GAA III/V InGaAs GAA PLANAR Scientific & technical support Imec offer-overview

22 Imec s offer

23 Imec s offer Fin & STI module NFET wells I/I PFET wells I/I Well RTA Dummy gate NFET extension I/I PFET extension I/I Extension RTA NFET SiN dep & etch NFET recess NFET epi PFET SiN dep & etch PFET recess PFET Laser epi anneal ILD0 RMG LI and BEOL N14 test vehicle NFET post epi nm fincd 45nm Fin Pitch CM [BsimCMG] Access to state of the art process technology PFET post epi Device Tilted view Ioff [A/µm] Experiment 1 REF Chiarella et al, ESSDERC 16 Id_sat[µA/µm] State-of-the-art devices with dedicated experiments ready on 300mm Silicon wafers. Main features: Bulk finfet, Replacement Metal Gate, S/D epi with Local Interconnect and silicide-last integration using single metal BEOL

24 Summary of access requests Status project 14nm bulk FinFET wafers for transport parameter and statistical LFN studies #11 Simulation of carrier transport in NW Transistors #43 Characterization of radiation effects in 14 nm bulk Fin [Co source] #54 Investigate the effects of ionizing radiation on 14nm bulk finfet devices [alpha-particles] #75 V.A yes yes yes tbd High-frequency performance FinFET MOS technology for RF circuit design #79 tbd RTN and 1/f characterization on bulk finfet M. Bucher #58 yes

25 PROJECT #11 close look Access requests Access and inquiries 14nm bulk FinFET wafers for transport parameter and statistical LFN studies project #11 V.A yes Initially started as V.A Split in #10 + #11 [V.A + TA] Interactions with user [conf calls/...] 2 WAFERS exchanged [MTA] MTA extended to 6 mo Paper submitted and accepted for icmts2017

26 PROJECT #54 close look Access requests Access and inquiries Characterization of radiation effects in 14 nm bulk Fin [Onera] project #54 V.A yes Required processing of 1 complete module Dicing and wirebonding & packaging needed PRE-POST bonding etest MTA document signature required SHIPPING and requestor s site Samples processed and diced NEXT: Bonding and test before ship Bonding Caveat: Yield of the post-bonding device I-V

27 Virtual Access

28 Available Data Leti FDSOI PDK for Full custom IC design 14nm planar FDSOI technology 10nm planar FDSOI technology (preliminary) imec FinFET and GAA test chip documentation and data (14nm) III/V InGaAs GAA documentation and data PLANAR documentation and data (28nm)

29 Virtual Access V.A Leti V.A data Preliminary PDK for Full custom IC design 14nm planar FDSOI technology 10nm planar FDSOI technology (preliminary) DK for IC demonstrators 28nm FDSOI technology (ST Microelectronics) Near future: PDK 10nm including libraries

30 Virtual Access V.A Imec bulk FinFET data Access to raw data and extracted FoM s Threshold Voltage, Mismatch DC metrics and ID-VD, ID-VG characteristics FEOL/BEOL R/C and Ring-Oscillator circuits ID[A] VG[V] Full sweep data in V.A Covers range of VG/VD and LG/nFin ID[A] Analog FoM, Reliability testing, ESD,... Available for subsequent model validation VG[V] VD[V]

31 FlexiLearn

32 Virtual Access Registered Users Ref User Institute Country 002 G. Angelov T.U. Sofia Bulgaria 006 G. Fatin Univ. Maynooth Ireland 008 A. Durgaryan Synopsys Armenia 022 A. Nejadmalayeri Phoelex Ltd (SME) UK 031 X. Wang Univ. Glasgow UK 035 K. Miyaguchi imec Belgium 036 G. Ghibaudo IMEP-LAHC/INPG France 037 F. Gamiz Univ. Granada Spain 043 M. Karner GlobalTCAD Solutions GmbH Austria 045 T. Kelly EOLAS Designs Ireland 047 A. Pezzotta EPFL ICLAB Switzerland 057 C. Couso Univ. Aut. Barcelona Spain 058 M. Bucher T.U. Crete Greece 062 L. Dobrescu T.U. Bucharest Romania 068 S. Kulkarni Tyndall National Institute Ireland 082 P. Dimitrakis Demokritos Greece 085 Y. Chauhan Inst. Tech. Kanpur India 091 H. Amrouch Karlsruhe Inst. Tech. Germany 092 D. Helms OFFIS Inst. Computer Sc. Germany 098 E. Ranga St. Martin Eng. College India 099 K.A. Shaik imec Belgium 102 T. Hillebrand Univ. Bremen Germany

33 Conclusion Tyndall, Leti and imec provide a wide range of process and test equipment to the ASCENT community Running requests mainly make use of: Standard or custom processing Electrical characterization Physical characterization Virtual access to finfet data or FDSOI library elements Easy to reach & signup!

34 Thank you! Thank you

35 BACKUP

36 User feedback (by ) For what purpose/project did you request access to the ASCENT VA Data? How often have you logged into the ASCENT VA Data repository since you registered? What data have you downloaded? Have you already used the data in your own research and/or modelling activities? Did you find it easy to gain access to the data (log in, location of folders/files, support documents, etc.)? What would you change? How did you find the quality and utility of the data? Is there anything else you would like to have in the repository? Is there any data that you would like to access, but that is not available yet? Any further comments / feedback for the ASCENT administrators regarding the VA data? Any other feedback?

37 Improving the offer and collecting data/outcomes Feedback Form Results vs Planned objectives Things user liked Aspects to improve Suggestions for improvement Data generated To VA Data embargo (if required): 3/6 months Outcomes Conferences, papers, patents MUST acknowledge ASCENT support 6 months later: Update outputs Update on data generates

38 Inquiry for bulk finfet MATERIAL TRANSFER AGREEMENT AVAILABLE If silicon is exchanged with imec, an MTA document is prepared

39 Imec data Imec bulk FinFET data Access to bulk finfet and GAA_SiNW data Integrated dual WFM CMOS LG range 24 nm 90nm within pitch and long channel devices nfin from 2 to 22 Room T available Higher/low T can be considered DOE for contact, layout effects,...

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018. ASCENT Overview MOS-AK Workshop, Infineon, Munich, 13 th March 2018 European Nanoelectronics Infrastructure Access Paul Roseingrave The Challenge Cost/performance returns by scaling are diminishing Cost

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

ASCENT. European Nanoelectronics Infrastructure Access. Nicolás Cordero

ASCENT. European Nanoelectronics Infrastructure Access. Nicolás Cordero ASCENT European Nanoelectronics Infrastructure Access Nicolás Cordero The Challenge Cost/performance returns by scaling are diminishing Cost to achieve tape out on new nodes is increasing Technology Quarterly

More information

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Deliverable 4.2: TEM cross sections on prototyped Gated Resistors Olga G. Varona, Geoff Walsh, Bernie Capraro Intel Ireland 21 June 2011 Abbreviation list D: drain FIB: focused ion-beam HRTEM: high resolution

More information

research in the fields of nanoelectronics

research in the fields of nanoelectronics FRAUNHOFEr center Nanoelectronic Technologies research in the fields of nanoelectronics 1 contents Fraunhofer CNT in Profile 3 Competence Areas Analytics 4 Functional Electronic Materials 5 Device & Integration

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Micro-PackS, Technology Platform. Security Characterization Lab Opening

Micro-PackS, Technology Platform. Security Characterization Lab Opening September, 30 th 2008 Micro-PackS, Technology Platform Security Characterization Lab Opening Members : Micro-PackS in SCS cluster From Silicium to innovative & commucating device R&D structure, gathering

More information

Reconfigurable Si-Nanowire Devices

Reconfigurable Si-Nanowire Devices Reconfigurable Si-Nanowire Devices André Heinzig, Walter M. Weber, Dominik Martin, Jens Trommer, Markus König and Thomas Mikolajick andre.heinzig@namlab.com log I d Present CMOS technology ~ 88 % of IC

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report

Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report October 13, 2006 Samsung S5K3BAFB 2 Megapixel CMOS Image Sensor 0.13 µm Copper CMOS Process Process Review Report (with Optional TEM Analysis) For comments, questions, or more information about this report,

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED

FOUNDRY SERVICE. SEI's FEATURE. Wireless Devices FOUNDRY SERVICE. SRD-800DD, SRD-500DD D-FET Process Lg=0.8, 0.5µm. Ion Implanted MESFETs SRD-301ED FOUNDRY SERVICE 01.04. Foundry services have been one of the core businesses at SEI, providing sophisticated GaAs IC technology for all customers. SEI offers very flexible service to support the customers

More information

Test Structures Basics Part 1

Test Structures Basics Part 1 Test Structures Basics Part 1 By Christopher Henderson In this document we will provide an overview of test structures as they pertain to reliability. Test structures can provide critical insight into

More information

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund lund nanolab Welcome to A facility within the Nanometer Structure Consortium (nmc) at Lund University »It s a dream come true. This is the lab I always dreamt of. I didn t know it would ever exist.«ivan

More information

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process

Intel Xeon E3-1230V2 CPU Ivy Bridge Tri-Gate 22 nm Process Intel Xeon E3-1230V2 CPU Structural Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis Some of the information in this report may

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by

photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited by Supporting online material Materials and Methods Single-walled carbon nanotube (SWNT) devices are fabricated using standard photolithographic techniques (1). Molybdenum electrodes (50 nm thick) are deposited

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Short Course Program

Short Course Program Short Course Program TECHNIQUES FOR SEE MODELING AND MITIGATION OREGON CONVENTION CENTER OREGON BALLROOM 201-202 MONDAY, JULY 11 8:00 AM 8:10 AM 9:40 AM 10:10 AM 11:40 AM 1:20 PM 2:50 PM 3:20 PM 4:50 PM

More information

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements

Progress Energy Distinguished University Professor Jay Baliga. April 11, Acknowledgements Progress Energy Distinguished University Professor Jay Baliga April 11, 2019 Acknowledgements 1 Outline SiC Power MOSFET Breakthroughs achieved at NCSU PRESiCE: SiC Power Device Manufacturing Technology

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor

Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Aptina MT9P111 5 Megapixel, 1/4 Inch Optical Format, System-on-Chip (SoC) CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical

More information

Deliverable D5.2 DEMO chip processing option 3

Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing option 3 Deliverable D5.2 DEMO chip processing Option 3 Date: 22-03-2017 PiezoMAT 2017-03-22_Delivrable_D5.2 Author(s): E.Saoutieff; M.Allain (CEA) Participant(s):

More information

EE 410: Integrated Circuit Fabrication Laboratory

EE 410: Integrated Circuit Fabrication Laboratory EE 410: Integrated Circuit Fabrication Laboratory 1 EE 410: Integrated Circuit Fabrication Laboratory Web Site: Instructor: http://www.stanford.edu/class/ee410 https://ccnet.stanford.edu/ee410/ (on CCNET)

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Fabricating 2.5D, 3D, 5.5D Devices

Fabricating 2.5D, 3D, 5.5D Devices Fabricating 2.5D, 3D, 5.5D Devices Bob Patti, CTO rpatti@tezzaron.com Tezzar on Semiconduct or 04/15/2013 1 Gen4 Dis-Integrated 3D Memory DRAM layers 42nm node 2 million vertical connections per lay per

More information

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL

Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Monolithic Pixel Sensors in SOI technology R&D activities at LBNL Lawrence Berkeley National Laboratory M. Battaglia, L. Glesener (UC Berkeley & LBNL), D. Bisello, P. Giubilato (LBNL & INFN Padova), P.

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs Application Note Recently, various devices using MEMS technology such as pressure sensors, accelerometers,

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers

Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Receivers & Array Workshop 2010 September 20th, 2010 Recent ETHZ-YEBES Developments in Low-Noise phemts for Cryogenic Amplifiers Andreas R. Alt, Colombo R. Bolognesi Millimeter-Wave Electronics Group (MWE)

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Sony IMX018 CMOS Image Sensor Imager Process Review

Sony IMX018 CMOS Image Sensor Imager Process Review September 6, 2006 Sony IMX018 CMOS Image Sensor Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: Basic Functional Analysis Sample Report 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis Sample Report Some of the information in this

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Keysight Technologies Scanning Microwave Microscope Mode. Application Note

Keysight Technologies Scanning Microwave Microscope Mode. Application Note Keysight Technologies Scanning Microwave Microscope Mode Application Note Introduction Measuring electromagnetic properties of materials can provide insight into applications in many areas of science and

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE

NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE Marie Curie Initial Training Network MC-PAD Associated partner NATIONAL INSTITUTE OF MATERIALS PHYSICS BUCHAREST-MAGURELE Atomistilor Str. 105 bis, P.O. Box MG-7, 077125 Magurele-Ilfov, Romania Phone:

More information

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 3 of 38 30/06/2011 1. FINAL PUBLISHABLE SUMMARY REPORT EUROSOI+- FP7-216373 4 of 38 30/06/2011 EUROSOI+- FP7-216373 5 of 38 30/06/2011 The main and last objective of EUROSOI Network

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Reliability and Modeling in Harsh Environments for Space Applications

Reliability and Modeling in Harsh Environments for Space Applications MOS AK Reliability and Modeling in Harsh Environments for Space Applications Farzan Jazaeri Christian Enz Integrated Circuits Laboratory (ICLAB), Ecole Polytechnique Fédérale de Lausanne (EPFL) Outline

More information

Nanowire Transistors. Physics of Devices and Materials in One Dimension

Nanowire Transistors. Physics of Devices and Materials in One Dimension Nanowire Transistors Physics of Devices and Materials in One Dimension From quantum mechanical concepts to practical circuit applications, this book presents a self-contained and up-to-date account of

More information

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER What I will show you today 200mm/8-inch GaN-on-Si e-mode/normally-off technology

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

Keysight Technologies MEMS On-wafer Evaluation in Mass Production

Keysight Technologies MEMS On-wafer Evaluation in Mass Production Keysight Technologies MEMS On-wafer Evaluation in Mass Production Testing at the Earliest Stage is the Key to Lowering Costs Application Note Introduction Recently, various devices using MEMS technology

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy

Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy Nanoscale Material Characterization with Differential Interferometric Atomic Force Microscopy F. Sarioglu, M. Liu, K. Vijayraghavan, A. Gellineau, O. Solgaard E. L. Ginzton Laboratory University Tip-sample

More information

IN SITU BIASING & HEATING SOLUTIONS FOR TEM PLATFORMS

IN SITU BIASING & HEATING SOLUTIONS FOR TEM PLATFORMS IN SITU BIASING & HEATING SOLUTIONS FOR TEM PLATFORMS Solutions for In Situ Microscopy THE LIGHTNING SERIES LIGHTNING FEATURES Observe the real-time dynamics of materials under electrical and heating stimuli.

More information

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis

Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis February 7, 2006 Microsoft X02046 IBM PowerPC Processor from the XBOX 360 Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis

Maxim MAX3940E Electro-Absorption Modulator Structural Analysis May 23, 2006 Maxim MAX3940E Electro-Absorption Modulator Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Motorola PRF5P21240 RF Power MOSFET Structural Analysis

Motorola PRF5P21240 RF Power MOSFET Structural Analysis September 2, 2004 Motorola PRF5P21240 RF Power MOSFET Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production Figure 1 Veeco is driving System on a Chip Technology Frank M. Cumbo, Kurt E. Williams, John

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Supporting Information. Single-Nanowire Electrochemical Probe Detection for Internally Optimized Mechanism of

Supporting Information. Single-Nanowire Electrochemical Probe Detection for Internally Optimized Mechanism of Supporting Information Single-Nanowire Electrochemical Probe Detection for Internally Optimized Mechanism of Porous Graphene in Electrochemical Devices Ping Hu, Mengyu Yan, Xuanpeng Wang, Chunhua Han,*

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process

Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Broadcom BCM43224KMLG Baseband/MAC/Radio All-in-One Die SMIC 65 nm Process Structural Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Structural Analysis

More information

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? HPEC Workshop 2006 New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? Craig L. Keast, Chenson Chen, Mike Fritze, Jakub Kedzierski, Dave Shaver HPEC 2006-1 Outline A brief history

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

Characterisation of Photovoltaic Materials and Cells

Characterisation of Photovoltaic Materials and Cells Standard Measurement Services and Prices No. Measurement Description Reference 1 Large area, 0.35-sun biased spectral response (SR) 2 Determination of linearity of spectral response with respect to irradiance

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Ridgetop Group, Inc.

Ridgetop Group, Inc. Ridgetop Group, Inc. Ridgetop Group Facilities in Tucson, AZ Arizona-based firm, founded in 2000, with focus on electronics for critical applications Two divisions: Semiconductor & Precision Instruments

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

A Brief Introduction to Single Electron Transistors. December 18, 2011

A Brief Introduction to Single Electron Transistors. December 18, 2011 A Brief Introduction to Single Electron Transistors Diogo AGUIAM OBRECZÁN Vince December 18, 2011 1 Abstract Transistor integration has come a long way since Moore s Law was first mentioned and current

More information

We are right on schedule for this deliverable. 4.1 Introduction:

We are right on schedule for this deliverable. 4.1 Introduction: DELIVERABLE # 4: GaN Devices Faculty: Dipankar Saha, Subhabrata Dhar, Subhananda Chakrabati, J Vasi Researchers & Students: Sreenivas Subramanian, Tarakeshwar C. Patil, A. Mukherjee, A. Ghosh, Prantik

More information

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes

High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes In the format provided by the authors and unedited. DOI: 10.1038/NNANO.2017.115 High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes 6 7 8 9 10 11 12 13 14 15 16

More information

General look back at MESFET processing. General principles of heterostructure use in FETs

General look back at MESFET processing. General principles of heterostructure use in FETs SMA5111 - Compound Semiconductors Lecture 11 - Heterojunction FETs - General HJFETs, HFETs Last items from Lec. 10 Depletion mode vs enhancement mode logic Complementary FET logic (none exists, or is likely

More information