A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS

Size: px
Start display at page:

Download "A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS"

Transcription

1 This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII , IEEE A.95-mW 6-b 7-MS/s Single-hannel Loop-Unrolled SAR AD in 4-nm MOS Long hen, Student Member, IEEE, Kareem Ragab, Member, IEEE, Xiyuan Tang, Jeonggoo Song, Arindam Sanyal, Student Member, IEEE, and Nan Sun, Member, IEEE Abstract This brief presents a low-power and high-speed single-channel SAR AD. It uses a loop-unrolled architecture with multiple comparators. Each comparator is used not only to make a comparison but also to store its output and generate an asynchronous clock to trigger the next comparator. The SAR logic is significantly simplified to increase speed and reduce power. The comparator offset and decision time are optimized with a bidirectional single-side switching technique by controlling the input common-mode voltage V cm. To remove the nonlinearity due to comparators offset mismatch, a simple and effective V cmadaptive offset calibration technique is proposed. The prototype AD in 4-nm MOS achieves 5dB and 48dB at 7MS/s sampling rate. It consumes.95mw, leading to a Walden FOM of fj/conv-step and a Schreier FOM of 5.4dB. Index Terms Analog-to-digital converter, successive approximation register, high speed, offset calibration. I. INTRODUTION High-speed low-resolution analog-to-digital converters (ADs) are required by many demanding applications, such as high speed serial link transceivers and communication systems. ompared with pipelined and Σ ADs, SAR ADs are more scaling friendly due to their mostly digital architecture and power efficient especially at low sampling rates [] []. In order to increase the speed of SAR ADs, several techniques have been developed [4] []. The first asynchronous SAR AD was proposed in [4] to shorten the time duration of each comparison cycle. The SAR AD speed can also be improved by using multi-bit-percycle architectures to reduce the number of comparisons, however at the cost of increased hardware complexity [6], [7]. Other effective high-speed techniques include using alternate comparators to save the comparator reset time [8] or pipelining two-stage SAR ADs [9]. Recently, several works arrange multiple comparators to further increase the speed [] []. A binary-search AD was proposed in [], which describes a transitional structure between flash and SAR ADs. However, the hardware cost is high in [] as this technique requires additional switching networks and N comparators for an N-bit design. The loop-unrolled architecture of [], [] employs a dedicated comparator for each comparison cycle. The comparison result is stored directly at the comparator output. As a result, the SAR logic is greatly simplified, leading to reduced power and The authors are with University of Texas at Austin, TX 787, USA. ( jackiechan.cl@utexas.edu, nansun@mail.utexas.edu). This work was supported in part by NSF grants 549, 59767, and 57. delay. Although more comparators are used compared to the conventional SAR architecture, the total comparator power does not increase since each of them is fired only once during the whole conversion. Nevertheless, the comparator common-mode voltage V cm varies significantly and eventually goes to V DD in [], [], resulting in large comparator offsets and reduced linearity. Both work [] and [] require complicated calibrations for comparators offset mismatches, which increase the power consumption and design complexity. This work proposes a novel loop-unrolled SAR AD with two new key techniques to improve the linearity and the power efficiency. First, in order to address the large V cm variation issue, a bidirectional single-side switching technique is employed. It reduces the comparator offset by appropriately controlling V cm. The comparator decision time is also optimized. In addition, it allows a reduced number of DA unit capacitors, which reduces the DA area and the routing parasitics. Second, to further improve the linearity, a novel V cm -adaptive offset calibration technique is proposed to calibrate the comparators offset mismatch. The proposed calibration technique has very low hardware complexity. It can calibrate the comparator offset at its operating V cm following the proposed switching procedure. A prototype AD is implemented in 4nm MOS. It achieves 4.8dB and 47.8dB at a sampling rate of 7MS/s, while consuming only.95mw power from a.v supply. The brief paper is organized as follows. Sec. II describes the proposed SAR AD architecture. Sec. III presents the circuit implementation. Sec. IV shows the measured results. The conclusion is drawn in Sec. V. II. PROPOSED SAR AD ARHITETURE The proposed 6-bit SAR AD architecture is shown in Fig. (a). It consists of a clock generator, a sampling network, capacitive DAs, 6 comparators, and a calibration unit. The clock generator generates the required timing phases. The sampling switches S and S are bootstrapped to ensure high sampling linearity at high input frequencies. The DAs are implemented using binary weighted capacitors. Small dynamic comparators with offset calibration are used to minimize power consumption. Dynamic OR gates with reset and controlled delay are used to generate the asynchronous clocks. The comparator offset mismatch is foreground calibrated by the calibration unit. The LSB comparator outputs are combined by a NOR gate to generate a ready signal, which indicates the end of the whole AD conversion (c) 6 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII , IEEE Fig.. (a) Architecture and (b) timing diagram of the proposed SAR AD. (b) The timing diagram is shown in Fig. (b). When s is low, the input voltages are top-plate sampled on the DAs through S and S. All comparators outputs are reset to low through dynamic OR gates controlled by se. The ready signal is reset to high. When the sampling phase ends, both se and s go to high. The MSB comparator is triggered by [5] which is two gates delay of se. Depending on the sampled input voltages, the MSB comparator makes its decision dp[5] and dn[5], which directly control the capacitive DAs to perform the proposed switching technique without the need for any shift register based SAR logic. The dynamic OR gate delay is controlled to provide adequate time for DA settling. It generates [4] to trigger the second MSB comparator. This procedure propagates in a domino fashion until the LSB comparison finishes. The ready signal goes to low. The next sampling phases starts after the comparator outputs are latched by the falling edge of se. ompared to the conventional SAR AD, the SAR logic is greatly simplified in this loop-unrolled architecture. There is no need for any shift register based sequencer or DFF based data storage because all comparator results are directly stored at the comparator outputs. The asynchronous clock is generated easily by ORing the comparators outputs. The reduced logic complexity reduces the circuit power, minimizes the chip area, and increases the speed. In the proposed architecture, the conversion time is reduced in three ways compared to the conventional asynchronous SAR AD [4]. First, no DFF or latch delay is needed to store the comparator output. Second, comparators are reset simultaneously, and thus, no comparator reset time is needed for every comparison cycle. The comparator reset time can be a speed bottleneck especially in most advanced technology node where both logic delay and DA settling time are small [8]. Third, the proposed design allows independent optimization for each comparison cycle. In other words, each OR gate delay can be adjusted based on the corresponding DA settling time. The comparator power can also be optimized using the technique in []. Overall, the optimized critical path for each comparison cycle in the proposed design can be represented as: T = t comp,decision + max{t DA, t OR } () This design optimizes t comp,decision by optimizing comparator input common-mode voltage with a bidirectional single-side switching technique. t DA and t OR are optimized by using small unit capacitor and specially designed dynamic OR gate, respectively, as shown in Sec. III. Based on SPIE simulation, t DA is greater than t OR for the first MSB bits where the DA capacitors are large. t OR dominates over t DA for the last 4 LSB bits. A. omparator III. IRUIT IMPLEMENTATIONS Fig. shows the dynamic comparator with offset calibration. Two variable MOS capacitors are added at the drain of input transistors M and M for calibration purpose. omparator offset and decision time are two key parameters in this design. Unlike the conventional SAR AD with one single comparator, the loop-unrolled architecture employs 6 comparators. All comparators have offsets and their offset mismatches degrade the AD linearity. omparator decision time is in the critical timing path as shown in (). Thus, it is desirable to design comparator with small offset and fast decision time. One important factor that influences both offset and decision time is the comparator input common-mode voltage V cm [4]. A small V cm is preferred to reduce the offset. The reason is that the pre-amplification gain is larger at small V cm, which suppresses the offset contribution from the latch. A large V cm helps reduce the pre-amplification time but the time duration of the latch regeneration phase is longer due to the reduction in the pre-amplification gain [4]. There exists an optimized V cm for decision time. The simulated σ offset and decision time are shown in Fig.. Here the decision time is defined as the time it takes for the comparator output differential voltage to reach.7v DD given mv differential input. It suggests the optimal value of V cm is around.8v, where the decision time is minimized and the offset is also small. B. Modified bidirectional single-side switching technique A modified bidirectional single-side (BSS) switching technique based on [5], [6] is employed in the design. The BSS technique can reduce the number of unit capacitors by 4 times compared to conventional switching technique and times compared to monotonic switching technique [], []. The binary capacitors used in the DA are [8 U, 4 U, U, U, U ] for 6-bit implementation, with a unit capacitor U of.9ff. Thanks to the small unit capacitor, the DA settling time t DA is short and it is easy to guarantee (c) 6 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

3 This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII , IEEE M7 M5 M9 V in+ M M V indn dp M M6 M8 M4 M mv to mv and decision time can be reduced by % in the proposed switching technique. Note that V cm decreases slightly when the comparators are fired due to the comparator kick-back noise. The kick-back noise is a common-mode noise to the first order, which does not degrade the linearity for this 6-bit AD. calp M M M calm..8 Vin+ Vin- Vcm Vdm s Fig.. Dynamic comparator with varactor loading. offset [mv] 4 offset Decision time Vcm [V] Fig.. Simulated comparator offset and decision time at different V cm. the settling error to be within / LSB. No redundancy is provided to avoid additional comparison cycles. The reduced number of unit capacitors reduces the layout complexity since fewer interconnections are needed. The measured result shows the capacitors are matched well without calibration for 6-bit accuracy with a similar layout strategy in [5]. The proposed BSS switching scheme is shown in Fig. 4. DA is connected to [,,,, /] when sampling the inputs, where, and / indicate ground, V DD and V DD /, respectively. The two references V DD and V DD / are provided off-chip for simplicity, although they can be generated on-chip at low power cost [8]. Instead of switching DA capacitors from ground to V DD monotonically, the proposed technique switches the first MSB capacitors [8 U, 4 U, U ] from ground to V DD, and then, switches the left side U capacitors from V DD to ground. The right side U is switched from V DD / to ground similar to [7]. / V inp 8 4 V inm dn[5] dn[4] dn[] dp[] dp[] Fig. 4. BSS switching scheme. The simulated V cm variation is shown in Fig. 5. In the proposed switching scheme, V cm stays close to.8v after the first comparison cycle, where the optimized decision time is achieved and comparator offset is kept small. ompared with monotonic switching scheme in [], [], where V cm can converge to V DD, the σ offset can be reduced from 8 Decision time [ps] Voltages [V].4 [5] [4] [] Time [ns] Fig. 5. Simulated time-domain waveforms for comparator inputs Vin+/Vin-, its common mode voltage V cm and its differential mode voltage V dm. []. V cm -adaptive offset calibration In the prototype AD, the full-scale differential input swing is.4v and LSB size is about mv. The optimized comparator σ offset is mv, which is comparable to the LSB size. To avoid linearity degradation due to offset mismatch, a V cm -adaptive offset calibration technique is proposed. The calibration technique works as follows. When the AD is in the calibration mode, the calibration control switch S is on and a zero differential input voltage is sampled through S and S (see Fig. ). A low-frequency external clock ext is provided. Each OR gate s delay is set large enough such that before each comparator is triggered, its differential inputs settle well and stay close to zero. If there is no offset, each comparator s output jumps between and due to thermal noise. omparator thermal noise in the design is about 4µV, which is much smaller than the offset. With a large offset in presence, the comparator s output keeps staying at either or. The offset can be calibrated by tuning the MOSFET based varactors shown in Fig., whose values are controlled by its gate voltage calp/calm. The calibration range is designed to be mv which is times the simulated σ offset. By observing the comparator s output, we can tell whether the comparator offset has been calibrated or not. The calibration is finished when the comparator output is evenly distributed between and. 4 AD outputs are captured by a logic analyzer during the calibration. The comparator offset is removed when the probability that its output code equals to is around 5%. Note that the probability does not need to be exactly 5% since the calibration accuracy is relaxed for a 6-b design. The measured probability of comparator outputs being versus its calibration voltage calm for the MSB comparator is plotted in Fig. 6. The proposed calibration is simple as it only requires one additional switch S. It does not require special DA patterns to generate the operating [] [] (c) 6 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

4 This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII , IEEE 4 V cm in [] or special input voltages that cause metastability at different comparators in []. Furthermore, since the same DA switching procedure happens during calibration, each comparator offset is calibrated at the same V cm as that of the normal AD operation. This is necessary in BSS or monotonic switching technique since V cm varies in each comparison cycle and the comparator offset depends strongly on V cm [4], [5]. Probability of output = calm voltage [V] Fig. 6. Probability of MSB comparator output being versus its calm with calp fixed at.v. D. Switches and logic A clock generator similar to [4] is used. The input sampling switches S and S are bootstrapped with the circuit shown in Fig. 7(a) [9]. A thick-oxide device provided in the process is used to tolerate a potential voltage higher than.v. OR gate needs to have a reset function together with controlled delay with the aim to provide enough time for DA settling. Since the unit capacitor employed in this design is quite small (.9fF), the DA settling time is comparable with the MOS logic gate delay. To minimize the number of logic gates and their delay, a dynamic OR gate shown in Fig.7(b) is used instead of traditional MOS gates. V B is used to control the current flowing through OR gate, thus tuning the gate delay. Fig. 8. hip micrograph. to comparator offset mismatches. After performing the V cm - adaptive offset calibration, DNL and INL are reduced significantly to -.4LSB/.9LSB and -./.6LSB, respectively. The effectiveness of the calibration can also be observed from the measured spectrum shown in Fig., where 4.dB and 8.5dB improvements are achieved after calibration. DNL [LSB] INL [LSB] Output code Fig. 9. Measured DNL/INL before calibration (dotted line) and after calibration (solid line). Spectrum [dbfs] =.5dB =4.8dB =4.8dB =5.dB Fig. 7. Schematic of (a) bootstrapped switch and (b) dynamic OR gate. IV. MEASUREMENT RESULTS The prototype AD is fabricated in 4-nm MOS and occupies an active area of only.4mm, as shown in Fig. 8. Unlike the floor plan in [], where the DA and SAR logic are placed side by side, SAR logic is placed between two DAs in our design. By doing this, long routing wires are avoided, which saves both area and power. The outputs are decimated by using 5 divide-by- toggle flipflops to facilitate AD measurements. All measurements are performed under.v power supply. Fig. 9 shows the measured DNL and INL before and after performing the proposed comparator offset calibration. ibration, large DNL and INL jumps happen due Fig.. Measured 4p FFT spectrum before calibration and after calibration with 6MS/s sampling rate and MHz input. Fig. shows the measured FFT spectrum with 7MS/s sampling rate and MHz input. The measured and are 4.8dB and 47.8dB, respectively, leading to 5.5-bit ENOB. When the input frequency is reduced to MHz, the measured and are 5.dB and 49.5dB, respectively. Fig. shows and at different sampling frequencies with a 5MHz input, at different input frequencies with 7MS/s sampling rate, and at different input amplitudes with MHz input and 7MS/s sampling rate. The measured is above 4.7dB across the whole Nyquist band. The maximum sampling rate of 7MS/s is limited by insufficient sampling time discovered during chip measurements. When (c) 6 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

5 This article has been accepted for publication in a future issue of this journal, but has not been fully edited. ontent may change prior to final publication. itation information: DOI.9/TSII , IEEE 5 se goes low, it takes longer time to reset comparators in measurements than that in simulation, which reduces the effective sampling time. The total power consumption at 7MS/s is.95mw, whose breakdown is:.84mw used by SAR logic and comparator,.9mw used by DA, and.mw used by clock generator. The measured Walden figure-of-merit (FOM) [] at Nyquist rate is fj/conversion-step and Schreier FOM [] is 5.4dB. As shown in Table I, this work achieves the highest and the best FOM with the smallest chip area compared to other state-of-art 6-bit designs. Spectrum [dbfs] fs=7ms/s fin=mhz =4.8dB =47.8dB Fig.. Measured 4p FFT spectrum with 7MS/s sampling rate and MHz input. / [db] fs [MS/s] fin [MHz] Input amplitude [dbfs] Fig.. Measured / under different sampling rates with 5MHz input (left), under different input frequencies with 7MS/s sampling rate (middle), and under different input amplitudes with 7MS/s sampling rate and MHz input (right). V. ONLUSION This brief presented a high-speed and low-power singlechannel loop-unrolled SAR AD. It proposed a simple method to calibrate the comparator offsets. The proposed switching technique allows the designers to control comparator input common-mode voltage for comparator offset and speed optimization. It can be easily time-interleaved (TI) for even higher speed applications. VI. AKNOWLEDGMENT The authors are grateful to the TSM University Shuttle Program for chip fabrication. REFERENES [] Z. Zhu and Y. Liang, A.6-V 8-nW 9.4-ENOB -ks/s SAR AD in.8µm MOS for medical implant devices, IEEE Trans. ircuits and Systems I, vol. 6, no. 9, pp , Sep. 5. [] L. hen et al, A.5-b ENOB 6nW ks/s SAR AD with statistical estimation based noise reduction, IEEE I, pp. 4, Sep. 5. TABLE I PERFORMANE OMPARISON. [4] [5] [9] [] This work Resolution [Bits] Process [nm] Supply voltage [V]..... Active area [mm ] Number of TI channels Sampling rate [GS/s] (Nyq) [db] ENOB [bit] Power [mw] Walden FoM [fj/step] Schreier FOM [db] [] Z. Zhu et al., A 6-to--Bit.5 V-to-.9 V reconfigurable MS/s power scalable SAR AD in.8µm MOS, IEEE Trans. ircuits and Systems I, vol. 6, no., pp , March 5. [4] S. hen and R. Brodersen, A 6-bit 6-MS/s 5.-mW asynchronous AD in.-µm MOS, IEEE J. Solid-State ircuits, vol. 4, no., pp , Dec. 6. [5] J. Yang, T. Naing, and R. Brodersen, A GS/s 6 bit 6.7 mw successive approximation AD using asynchronous processing, IEEE J. Solid-State ircuits, vol., no. 8, pp , Aug.. [6] H. Wei et al., An 8-b 4-MS/s -b-per-cycle SAR AD with resistive DA, IEEE J. Solid-State ircuits, vol. 47, no., pp , Nov.. [7] H.-K. Hong et al., A.6 b/cycle-architecture-based b.7 GS/s 5.4mW 4X-time-interleaved SAR AD with a multistep hardwareretirement technique, IEEE Int. Solid-State ircuits onf. (ISS) Dig. Tech. Papers, pp., 5. [8] L. Kull et al., A. mw 8b. GS/s single-channel asynchronous SAR AD with alternate comparators for enhanced speed in nm digital SOI MOS, IEEE J. Solid-State ircuits, vol. 48, no., pp , Dec.. [9] H.-Y. Tai et al., A 6-bit GS/s two-step SAR AD in 4 nm MOS, IEEE Trans. ircuits and Systems II, vol. 6, no. 5, pp. 9 4, May. 4. [] Y.-Z. Lin et al., An asynchronous binary-search AD architecture with a reduced comparator count, IEEE Trans. ircuits and Systems I, vol. 57, no. 8, pp , Aug.. [] B. Verbruggen et al., A.7 mw b 5 MS/s -times interleaved fully dynamic pipelined SAR AD in 4 nm digital MOS, IEEE J. Solid-State ircuits, vol. 47, no., pp , Dec.. [] T. Jiang et al., A single-channel,.5-gs/s, 6-bit, 6.8-mW asynchronous successive- approximation AD with improved feedback delay in 4-nm MOS, IEEE J. Solid-State ircuits, vol. 47, no., pp. 444, Oct.. [] Ahmadi, M. and W. Namgoong, omparator power minimization analysis for SAR AD using multiple comparators, IEEE Trans. ircuits and Systems I, vol.6, no., pp , Oct. 5. [4] B. Wicht, T. Nirschl, and D. S. Landsiedel, Yield and speed optimization of a latch-type voltage sense amplifier, IEEE J. Solid-State ircuits, vol. 9, pp , June 4. [5] L. hen, A. Sanyal, J. Ma, and N. Sun, A 4-µW -bit -MS/s SAR AD with a bidirectional single-side switching technique, IEEE ESSIR, pp. 9, Sep. 4. [6] A. Sanyal and N. Sun, An energy-efficient, low frequency-dependence switching technique for SAR ADs, IEEE Trans. ircuits and Systems II, vol. 6, no. 5, pp , May 4. [7] M. Taherzadeh-Sani, R. Lotfi, and F. Nabki, A -bit ks/s.6 µw SA-AD with a hybrid differential/single-ended DA in 8-nm MOS for multichannel biomedical applications, IEEE Trans. ircuits and Systems II, vol. 6, no. 8, pp , Aug 4. [8] H. Zhuang, Z. Zhu and Y. Yang, A 9-nW.7-V MOS voltage reference with no amplifiers and no clock circuits, IEEE Trans. ircuits and Systems II, vol. 6, no., pp. 8 84, Nov. 4. [9] E. Siragusa and I. Galton A digitally enhanced.8-v 5-bit 4- MSample/s MOS pipelined AD, IEEE J. Solid-State ircuits, vol. 9, no., pp. 6 8, Dec. 4. [] R. H. Walden, Analog-to-digital converter survey and analysis, IEEE Journal on Selected Areas in ommunications, vol.7, no.4, pp , April 999. [] R. Schreier and G.. Temes, Understanding delta-sigma data converters, New York: Wiley, (c) 6 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

HIGH-SPEED low-resolution analog-to-digital converters

HIGH-SPEED low-resolution analog-to-digital converters 244 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 3, MARCH 2017 A 0.95-mW 6-b 700-MS/s Single-Channel Loop-Unrolled SAR ADC in 40-nm CMOS Long Chen, Student Member, IEEE, Kareem

More information

Research Article Improved Switching Energy Reduction Approach in Low-Power SAR ADC for Bioelectronics

Research Article Improved Switching Energy Reduction Approach in Low-Power SAR ADC for Bioelectronics Hindawi Publishing orporation VLSI Design Volume 26, Article ID 629254, 6 pages http://dx.doi.org/.55/26/629254 Research Article Improved Switching Energy Reduction Approach in Low-Power SAR AD for Bioelectronics

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital 426 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 5, MAY 2015 A Novel Hybrid Radix-/Radix-2 SAR ADC With Fast Convergence and Low Hardware Complexity Manzur Rahman, Arindam

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect

An Optimized DAC Timing Strategy in SAR ADC with Considering the Overshoot Effect Journal of Electrical and Electronic Engineering 2015; 3(2): 19-24 Published online March 31, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150302.12 ISSN: 2329-1613 (Print);

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member, IEEE, and Nan Sun, Member, IEEE

An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member, IEEE, and Nan Sun, Member, IEEE 294 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 5, MAY 2014 An Energy-Efficient Low Frequency-Dependence Switching Technique for SAR ADCs Arindam Sanyal, Student Member,

More information

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration A b 5MS/s.mW SAR ADC with redundancy and digital background calibration The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

A Novel Architecture For An Energy Efficient And High Speed Sar Adc

A Novel Architecture For An Energy Efficient And High Speed Sar Adc A Novel Architecture For An Energy Efficient And High Speed Sar Adc Ms.Vishnupriya Iv 1, Ms. Prathibha Varghese 2 1 (Electronics And Communication dept. Sree Narayana Gurukulam College of Engineering,

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

SUCCESSIVE approximation register (SAR) analog-todigital

SUCCESSIVE approximation register (SAR) analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 45, NO. 4, APRIL 2010 731 A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure Chun-Cheng Liu, Student Member, IEEE, Soon-Jyh Chang, Member,

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

A Successive Approximation ADC based on a new Segmented DAC

A Successive Approximation ADC based on a new Segmented DAC A Successive Approximation ADC based on a new Segmented DAC segmented current-mode DAC successive approximation ADC bi-direction segmented current-mode DAC DAC INL 0.47 LSB DNL 0.154 LSB DAC 3V 8 2MS/s

More information

Time- interleaved sigma- delta modulator using output prediction scheme

Time- interleaved sigma- delta modulator using output prediction scheme K.- S. Lee, F. Maloberti: "Time-interleaved sigma-delta modulator using output prediction scheme"; IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 51, Issue 10, Oct. 2004, pp. 537-541.

More information

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability

EE247 Lecture 20. Comparator architecture examples Flash ADC sources of error Sparkle code Meta-stability EE247 Lecture 2 ADC Converters ADC architectures (continued) Comparator architectures Latched comparators Latched comparators incorporating preamplifier Sample-data comparators Offset cancellation Comparator

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13-m CMOS for Medical Implant Devices

A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13-m CMOS for Medical Implant Devices A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13-m CMOS for Medical Implant Devices Dai Zhang, Ameya Bhide and Atila Alvandpour Linköping University Post Print N.B.: When citing this work, cite the original article.

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE

A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 4, APRIL 2011 859 A SAR-Assisted Two-Stage Pipeline ADC Chun C. Lee, Member, IEEE, and Michael P. Flynn, Senior Member, IEEE Abstract Successive approximation

More information

SAR ADC USING SINGLE-CAPACITOR PULSE WIDTH TO ANALOG CONVERTER BASED DAC. A Thesis. Presented to. The Graduate Faculty of the University of Akron

SAR ADC USING SINGLE-CAPACITOR PULSE WIDTH TO ANALOG CONVERTER BASED DAC. A Thesis. Presented to. The Graduate Faculty of the University of Akron SAR ADC USING SINGLE-CAPACITOR PULSE WIDTH TO ANALOG CONVERTER BASED DAC A Thesis Presented to The Graduate Faculty of the University of Akron In Partial Fulfillment of the Requirements for the Degree

More information

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang

A 1.25GS/S 8-BIT TIME-INTERLEAVED C-2C SAR ADC FOR WIRELINE RECEIVER APPLICATIONS. Qiwei Wang A 1.25GS/S 8-BIT TIME-INTERLEAVED -2 SAR AD FOR WIRELINE REEIVER APPLIATIONS by Qiwei Wang A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System 1266 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 7, JULY 2003 A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System Kambiz Kaviani, Student Member,

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

THE power consumption of a single-channel analog-todigital

THE power consumption of a single-channel analog-todigital IEEE JOURNAL OF SOLID-STATE CIRCUITS 1 A 10-b 800-MS/s Time-Interleaved SAR ADC With Fast Variance-Based Timing-Skew Calibration Jeonggoo Song, Student Member, IEEE, Kareem Ragab, Member, IEEE, Xiyuan

More information

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC

A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC A 10 bit, 1.8 GS/s Time Interleaved Pipeline ADC M. Åberg 2, A. Rantala 2, V. Hakkarainen 1, M. Aho 1, J. Riikonen 1, D. Gomes Martin 2, K. Halonen 1 1 Electronic Circuit Design Laboratory Helsinki University

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching

Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching Implementation of High Speed Low Power Split-SAR ADCS Using V cm and Capacitor Based Switching M. Ranjithkumar [1], M.Bhuvaneswaran [2], T.Kowsalya [3] PG Scholar, ME-VLSI DESIGN, Muthayammal Engineering

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Design Challenges of Analog-to-Digital Converters in Nanoscale CMOS

Design Challenges of Analog-to-Digital Converters in Nanoscale CMOS IEICE TRANS. ELECTRON., VOL.E90 C, NO.4 APRIL 2007 779 INVITED PAPER Special Section on Low-Power, High-Speed LSIs and Related Technologies Design Challenges of Analog-to-Digital Converters in Nanoscale

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration

Design of High-Resolution MOSFET-Only Pipelined ADCs with Digital Calibration Design of High-Resolution MOSET-Only Pipelined ADCs with Digital Calibration Hamed Aminzadeh, Mohammad Danaie, and Reza Lotfi Integrated Systems Lab., EE Dept., erdowsi University of Mashhad, Mashhad,

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A 6-bit Subranging ADC using Single CDAC Interpolation

A 6-bit Subranging ADC using Single CDAC Interpolation A 6-bit Subranging ADC using Single CDAC Interpolation Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Interpolation techniques 6-bit, 500 MS/s

More information

DIGITAL wireless communication applications such as

DIGITAL wireless communication applications such as IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 8, AUGUST 2010 1829 An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count Ying-Zu Lin, Student Member,

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

Design and Evaluation of an Ultra-Low Power Successive Approximation ADC

Design and Evaluation of an Ultra-Low Power Successive Approximation ADC Design and Evaluation of an Ultra-Low Power Successive Approximation ADC Master thesis performed in Electronic Devices by Dai Zhang Report number: LiTH-ISY-EX--09/4176--SE Linköping Date: March 2009 Design

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A Novel Approach of Low Power Low Voltage Dynamic Comparator Design for Biomedical Application

A Novel Approach of Low Power Low Voltage Dynamic Comparator Design for Biomedical Application A Novel Approach of Low Power Low Voltage Dynamic Design for Biomedical Application 1 Nitesh Kumar, 2 Debasish Halder, 3 Mohan Kumar 1,2,3 M.Tech in VLSI Design 1,2,3 School of VLSI Design and Embedded

More information

Mrs. C.Mageswari. [1] Mr. M.Ashok [2]

Mrs. C.Mageswari. [1] Mr. M.Ashok [2] DESIGN OF HIGH SPEED SPLIT SAR ADC WITH IMPROVED LINEARITY Mrs. C.Mageswari. [1] Mr. M.Ashok [2] Abstract--Recently low power Analog to Digital Converters (ADCs) have been developed for many energy constrained

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

Outline. Design Considerations for Continuous-Time Bandpass ADCs. An ADC Figure-of-Merit? An ADC Figure-of-Merit? DR-P Trade-Off: Part 2

Outline. Design Considerations for Continuous-Time Bandpass ADCs. An ADC Figure-of-Merit? An ADC Figure-of-Merit? DR-P Trade-Off: Part 2 Design onsiderations for ontinuous-time Bandpass ADs ichard Schreier Oct 5 ANALOG DEVIES Outline An AD Figure-of-Merit Overview of Bandpass ADs 3 A High-Q Active- esonator IDA Design onsiderations Thermal

More information

THE pipelined ADC architecture has been adopted into

THE pipelined ADC architecture has been adopted into 1468 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 A 1.8-V 67-mW 10-bit 100-MS/s Pipelined ADC Using Time-Shifted CDS Technique Jipeng Li, Member, IEEE, and Un-Ku Moon, Senior Member,

More information

A 8-Bit Hybrid Architecture Current-Steering DAC

A 8-Bit Hybrid Architecture Current-Steering DAC A 8-Bit Hybrid Architecture Current-Steering DAC Mr. Ganesha H.S. 1, Dr. Rekha Bhandarkar 2, Ms. Vijayalatha Devadiga 3 1 Student, Electronics and communication, N.M.A.M. Institute of Technology, Karnataka,

More information

Wenjuan Guo, Student Member, IEEE, Tsedeniya Abraham, Steven Chiang, Chintan Trehan, Masahiro Yoshioka, and Nan Sun, Member, IEEE

Wenjuan Guo, Student Member, IEEE, Tsedeniya Abraham, Steven Chiang, Chintan Trehan, Masahiro Yoshioka, and Nan Sun, Member, IEEE 656 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 62, NO. 7, JULY 2015 An Area- and Power-Efficient I ref Compensation Technique for Voltage-Mode R 2R DACs Wenjuan Guo, Student Member,

More information

Digital Calibration for Current-Steering DAC Linearity Enhancement

Digital Calibration for Current-Steering DAC Linearity Enhancement Digital Calibration for Current-Steering DAC Linearity Enhancement Faculty of Science and Technology, Division of Electronics & Informatics Gunma University Shaiful Nizam Mohyar, Haruo Kobayashi Gunma

More information

Design of 10-bit current steering DAC with binary and segmented architecture

Design of 10-bit current steering DAC with binary and segmented architecture IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 13, Issue 3 Ver. III (May. June. 2018), PP 62-66 www.iosrjournals.org Design of 10-bit current

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

A new structure of substage in pipelined analog-to-digital converters

A new structure of substage in pipelined analog-to-digital converters February 2009, 16(1): 86 90 www.sciencedirect.com/science/journal/10058885 The Journal of China Universities of Posts and Telecommunications www.buptjournal.cn/xben new structure of substage in pipelined

More information

A very compact 1MS/s Nyquist-rate A/D-converter with 12 effective bits for use as a standard cell

A very compact 1MS/s Nyquist-rate A/D-converter with 12 effective bits for use as a standard cell A very compact MS/s yquist-rate A/-converter with effective bits for use as a standard cell Pieter Rombouts, Pierre Woestyn, Maarten e Bock and Johan Raman This document is an author s draft version submitted

More information

CAPACITOR mismatch is a major source of missing codes

CAPACITOR mismatch is a major source of missing codes 1626 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 7, JULY 2008 An 11-Bit 45 MS/s Pipelined ADC With Rapid Calibration of DAC Errors in a Multibit Pipeline Stage Imran Ahmed, Student Member, IEEE,

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter

A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter A Low-Power 6-b Integrating-Pipeline Hybrid Analog-to-Digital Converter Quentin Diduck, Martin Margala * Electrical and Computer Engineering Department 526 Computer Studies Bldg., PO Box 270231 University

More information

Design of Analog Integrated Systems (ECE 615) Outline

Design of Analog Integrated Systems (ECE 615) Outline Design of Analog Integrated Systems (ECE 615) Lecture 9 SAR and Cyclic (Algorithmic) Analog-to-Digital Converters Ayman H. Ismail Integrated Circuits Laboratory Ain Shams University Cairo, Egypt ayman.hassan@eng.asu.edu.eg

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton

A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction. Andrea Panigada, Ian Galton A 130mW 100MS/s Pipelined ADC with 69dB SNDR Enabled by Digital Harmonic Distortion Correction Andrea Panigada, Ian Galton University of California at San Diego, La Jolla, CA INTEGRATED SIGNAL PROCESSING

More information

Flash ADC (Part-I) Architecture & Challenges

Flash ADC (Part-I) Architecture & Challenges project synopsis In The Name of Almighty Lec. 4: Flash ADC (PartI) Architecture & Challenges Lecturer: Samaneh Babayan Integrated Circuit Lab. Department of Computer Science & Engineering ImamReza University

More information

International Journal of Modern Trends in Engineering and Research

International Journal of Modern Trends in Engineering and Research International Journal of Modern Trends in Engineering and Research www.ijmter.com e-issn No.:2349-9745, Date: 28-30 April, 2016 Temperaments in the Design of Low-voltage Low-power Double Tail Comparator

More information

Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan Cao, Student Member, IEEE

Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan Cao, Student Member, IEEE 872 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 12, DECEMBER 2011 Low-Complexity High-Order Vector-Based Mismatch Shaping in Multibit ΔΣ ADCs Nan Sun, Member, IEEE, and Peiyan

More information

Summary Last Lecture

Summary Last Lecture EE247 Lecture 23 Converters Techniques to reduce flash complexity Interpolating (continued) Folding Multi-Step s Two-Step flash Pipelined s EECS 247 Lecture 23: Data Converters 26 H.K. Page Summary Last

More information

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems

A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems A CMOS Analog Front-End for Driving a High-Speed SAR ADC in Low-Power Ultrasound Imaging Systems Taehoon Kim, Han Yang, Sangmin Shin, Hyongmin Lee and Suhwan Kim Electrical and Computer Engineering and

More information

Design of Low Power Preamplifier Latch Based Comparator

Design of Low Power Preamplifier Latch Based Comparator Design of Low Power Preamplifier Latch Based Comparator Siddharth Bhat SRM University India siddharth.bhat05@gmail.com Shubham Choudhary SRM University India shubham.choudhary8065@gmail.com Jayakumar Selvakumar

More information

AD9772A - Functional Block Diagram

AD9772A - Functional Block Diagram F FEATURES single 3.0 V to 3.6 V supply 14-Bit DAC Resolution 160 MPS Input Data Rate 67.5 MHz Reconstruction Passband @ 160 MPS 74 dbc FDR @ 25 MHz 2 Interpolation Filter with High- or Low-Pass Response

More information

Implementation of a 200 MSps 12-bit SAR ADC

Implementation of a 200 MSps 12-bit SAR ADC Master Thesis Project Implementation of a 200 MSps 12-bit SAR ADC Authors: Principal supervisor at LTH: Supervisors at Ericsson: Examiner at LTH: Victor Gylling & Robert Olsson Pietro Andreani Mattias

More information

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18

EECS 247 Lecture 18: Data Converters- Track & Hold- ADC Design 2009 Page 1. EE247 Lecture 18 EE247 Lecture 8 ADC Converters Sampling (continued) Bottom-plate switching Track & hold T/H circuits T/H combined with summing/difference function T/H circuit incorporating gain & offset cancellation T/H

More information

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC

EE247 Lecture 23. Advanced calibration techniques. Compensating inter-stage amplifier non-linearity Calibration via parallel & slow ADC EE247 Lecture 23 Pipelined ADCs Combining the bits Stage implementation Circuits Noise budgeting Advanced calibration techniques Compensating inter-stage amplifier non-linearity Calibration via parallel

More information

Lei Sun 1, Chi Tung Ko 1, Marco Ho 1, Wai Tung Ng 2, Ka Nang Leung 1, Chiu Sing Choy 1, Kong Pang Pun 1. M5S 3G4

Lei Sun 1, Chi Tung Ko 1, Marco Ho 1, Wai Tung Ng 2, Ka Nang Leung 1, Chiu Sing Choy 1, Kong Pang Pun 1. M5S 3G4 23 µw 8.9-effective number of bit 1.1 MS/s successive approximation register analog-to-digital converter with an energy-efficient digital-to-analog converter switching scheme Lei Sun 1, Chi Tung Ko 1,

More information

Fig. 2. Schematic of the THA. M1 M2 M3 M4 Vbias Vdd. Fig. 1. Simple 3-Bit Flash ADC. Table1. THA Design Values ( with 0.

Fig. 2. Schematic of the THA. M1 M2 M3 M4 Vbias Vdd. Fig. 1. Simple 3-Bit Flash ADC. Table1. THA Design Values ( with 0. A 2-GSPS 4-Bit Flash A/D Converter Using Multiple Track/Hold Amplifiers By Dr. Mahmoud Fawzy Wagdy, Professor And Chun-Shou (Charlie) Huang, MSEE Department of Electrical Engineering, California State

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

A Comparative Study of Dynamic Latch Comparator

A Comparative Study of Dynamic Latch Comparator A Comparative Study of Dynamic Latch Comparator Sandeep K. Arya, Neelkamal Department of Electronics & Communication Engineering Guru Jambheshwar University of Science & Technology, Hisar, India (125001)

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

MOST pipelined analog-to-digital converters (ADCs) employ

MOST pipelined analog-to-digital converters (ADCs) employ IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 49, NO. 8, AUGUST 2014 1739 A 7.1 mw 1 GS/s ADC With 48 db SNDR at Nyquist Rate Sedigheh Hashemi and Behzad Razavi, Fellow, IEEE Abstract A two-stage pipelined

More information

CMOS High Speed A/D Converter Architectures

CMOS High Speed A/D Converter Architectures CHAPTER 3 CMOS High Speed A/D Converter Architectures 3.1 Introduction In the previous chapter, basic key functions are examined with special emphasis on the power dissipation associated with its implementation.

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN 2018 International Conference on Mechanical, Electronic and Information Technology (ICMEIT 2018) ISBN: 978-1-60595-548-3 Design and Implementation of a Low Power Successive Approximation ADC Xin HUANG,

More information

Soft-Supply Inverter. Carl Fredrik Hellwig. A New Power-Saving Logical Gate Applied in Several Sub-Modules of a 9-bit 1kS/s SAR ADC

Soft-Supply Inverter. Carl Fredrik Hellwig. A New Power-Saving Logical Gate Applied in Several Sub-Modules of a 9-bit 1kS/s SAR ADC Soft-Supply Inverter A New Power-Saving Logical Gate Applied in Several Sub-Modules of a 9-bit 1kS/s SAR ADC Carl Fredrik Hellwig Electronics System Design and Innovation Submission date: March 2014 Supervisor:

More information

Design of an Assembly Line Structure ADC

Design of an Assembly Line Structure ADC Design of an Assembly Line Structure ADC Chen Hu 1, Feng Xie 1,Ming Yin 1 1 Department of Electronic Engineering, Naval University of Engineering, Wuhan, China Abstract This paper presents a circuit design

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

An accurate track-and-latch comparator

An accurate track-and-latch comparator An accurate track-and-latch comparator K. D. Sadeghipour a) University of Tabriz, Tabriz 51664, Iran a) dabbagh@tabrizu.ac.ir Abstract: In this paper, a new accurate track and latch comparator circuit

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC Zhijie Chen, Masaya Miyahara, Akira Matsuzawa Tokyo Institute of Technology Symposia on VLSI Technology and Circuits Outline Background

More information

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor

1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor 1.5 bit-per-stage 8-bit Pipelined CMOS A/D Converter for Neuromophic Vision Processor Yilei Li, Li Du 09212020027@fudan.edu.cn Abstract- Neuromorphic vision processor is an electronic implementation of

More information