FD-SOI Technology. Bich-Yen Nguyen Soitec

Size: px
Start display at page:

Download "FD-SOI Technology. Bich-Yen Nguyen Soitec"

Transcription

1 FD-SOI Technology Bich-Yen Nguyen Soitec

2 Agenda 1 FD-SOI technology overview 2 Markets, foundries offers & ecosystems 3 FD-SOI material & roadmap 4 Summary 10/10/ SOITEC Confidential FD-SOI technology

3 Challenge of Traditional Planar Bulk Transistor Scaling Increased standby power dissipation Source: IBM, T.C. Chen, ISSCC 2006 Amplified V th variability Impact Yield Limit Vdd scaling new transistor architectures and materials are needed 10/10/ SOITEC Confidential FD-SOI technology

4 Continue Moore Law with New Materials & Device Architectures nm 45 nm 22nm Hartmann, GSA12 65nm 32nm Strained Silicon Introduction of New Materials High-K / Metal Gate Introduction of New Device Architecture Fully Depleted Devices 10/10/ SOITEC Confidential FD-SOI technology

5 Leakage Power is still a Major Issue Despite the Use of Hi-K Dielectric High-K/Metal Gate Stack Source: IBS SiON/Poly Gate Stack Technology node Leakage power is still tremendously growing after insertion of the High- K/MG gate stack at 28nm node as demands for more performance and functionality 10/10/ SOITEC Confidential FD-SOI technology

6 New Device Architecture: Planar FDSOI or Multi-Gate Transistor S G D Minimum Design Disruption S G D Buried OX Max scalability S G D Bulk Si Conventional Planar Bulk Transistor Bulk Si Planar Single-or double Gate FDSOI FDSOI : Fully Depleted Silicon-on Insulator Buried oxide Multiple-Gate, FinFET or Nanowire Transistor Thin channel (Fully Depleted) with multi gates for better gate or short channel (SCE) control Better gate control better transistors scaling 10/10/ SOITEC Confidential FD-SOI technology

7 FD-SOI Transistor Advantages UTBB FDSOI Transistor Advantages Courtesy of STM Body Biasing Knobs to control Perf/Power: Gate bias Back Bias Total dielectric isolation Ultra thin Body No channel doping Ultra thin BOX option Channel mobility boost Conventional planar processing Lower S/D capacitances Lower S/D leakage Latch-up immunity Excellent SCE (SS, DIBL) No History Effect Lower SER Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Enables Extended body biasing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

8 FDSOI Device Physics FDSOI Coxf Csi Coxb Vg F s1 F s2 Body factor: n = 1.05 in FDSOI; 1.5 in Bulk G Bulk/PDSOI Vg G S D Cox S Oxide F Silicon Cdepl s D Linear current: Saturation current: Sub-threshold slope: W I D = µ C ox L I Dsat = 1 2n µ C ox S = n kt q ln(10 ) ( ) V D 1 2 n V D 2 V G V TH W L ( V G V TH ) 2 ) Gain (strong inversion): g m I D V A = 2 µ C ox W L n I D V A 10/10/ SOITEC Confidential FD-SOI technology

9 FD-SOI Transistor Advantages UTBB FDSOI Transistor Advantages Courtesy of STM Body Biasing Knobs to control Perf/Power: Gate bias Back Bias Total dielectric isolation Ultra thin Body No channel doping Ultra thin BOX option Channel mobility boost Conventional planar processing Lower S/D capacitances Lower S/D leakage Latch-up immunity Excellent SCE (SS, DIBL) No History Effect Lower SER Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Enables Extended body biasing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

10 FD-SOI Transistor Level Benefits BULK Gate Thin and excellent uniformity SOI defined channel FD-SOI Gate Source Drain Source Top Si BOX Drain Bulk wafer 2 nd gate through ultra thin BOX FD-SOI base wafer Vth and SCE defined by complex and heavily channel and halo doping techniques Large Vt mismatch due to random dopant fluctuation => limit Vdd scaling Strong sensitivity to short channel effect Large junction capacitance (Cj), GIDL and Didode leakage Limited well bias capability Vertical transistor layout determined by FD-SOI engineered substrate and undoped channel Significant improved Vt mismatch due to minimize random dopant fluctuation => enable Vd scaling down to 0.4V or lower Excellent SCE Minimum Cj, GIDL & diode leakages Extensive back bias capability 10/10/ SOITEC Confidential FD-SOI technology

11 FD-SOI superior SER enables high reliability required applications Attractive SEU resistance due to very low charge collection volumes in FD-SOI Michael L. Alles Vanderbilt University, S3S conference, 2015 Neutron-SER in FT/Mb ST Vendor A ST 65nm 45nm 45nm Bulk Bulk Bulk FD-SOI = 20x SER improvement vs. bulk Vendor A 28nm Bulk ST 28nm Bulk 28nm FD-SOI ST 28nm FD-SOI Mobileye using STM FD-SOI 28nm technology for their 4th gen chipset CPU#1 CPU#2 CPU#1 CPU#2 CPU#1 CPU#2 Memory Memory Memory ST, P. Magarshack, Shanghai FDSOI forum, /10/ SOITEC Confidential FD-SOI technology Source: Automotive Product Group (APG) presentation,, Marco Monti

12 FD-SOI Transistor Advantages UTBB FDSOI Transistor Advantages Courtesy of STM Body Biasing Knobs to control Perf/Power: Gate bias Back Bias Total dielectric isolation Ultra thin Body No channel doping Ultra thin BOX option Channel mobility boost Conventional planar processing Lower S/D capacitances Lower S/D leakage Latch-up immunity Excellent SCE (SS, DIBL) No History Effect Lower SER Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Enables Extended body biasing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

13 FDSOI Structure by IBM- VLSI 2009 Insitu doped SiGe S/D: Lower S/D resistance Reduces parasitic capacitance B - SiGe Lg= 25nm Tsi= 6nm Tinv=1.6nm Ioff= 3nmA/um Ion-N=570um/um Ion-P=550uA/um Vdd=0.9v 13 BY Nguyen, Soitec Apr. 22, 2011

14 IBM: 28LP Bulk vs. FDSOI 20LP Parameter 28LP Bulk IBM et al. (*) ETSOI IBM et al. (**) Lg 30nm 22nm VDD 1V 1V nfet pfet nfet pfet Ioff=300pA/um Ioff = 1nA/um 660µA/µm 380µA/µm 760µA/µm 590µA/µm 740µA/µm 420µA/µm 920µA/µm 880µA/µm DIBL 120mV/V 130mV/V 85 mv/v 90 mv/v AVt 2mV.µm 1.25mV.µm VDD 1.1V Ioff=1nA/um, 920µA/um 525nA/µm 1100µA/µm 1050µA/µm (*): IBM Alliance 28LP technology as reported at IEDM 09, F Arnaud et al. (**): ETSOI technology for 22/20LP from IBM Research, as reported at FDSOI workshop dec /10/ SOITEC Confidential FD-SOI technology

15 FD-SOI Transistor Advantages UTBB FDSOI Transistor Advantages Total dielectric isolation Lower S/D capacitances Lower S/D leakage Latch-up immunity Ultra thin Body Excellent SCE (SS, DIBL) No History Effect Lower SER improvement No channel doping Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Courtesy of STM Body Biasing Ultra thin BOX option Enables Extended body biasing Knobs to control Perf/Power: Gate bias Back Bias Channel mobility boost Conventional planar processing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

16 SOI value: part of device integrated by substrate engineering Optimized SOI wafers provide excellent silicon geometry control To make the best of FD technology Soitec FD-2D wafer Ultra-Thin Top Silicon Layer FD-SOI transistor S G D Ultra-Thin Buried Oxide Base Silicon Critical dimension: Top Si thickness Enables: Critical dimension: channel thickness 10/10/ SOITEC Confidential FD-SOI technology

17 FDSOI Uniformity: Lowest VT variability A vt (mv.µm) ±2.6A, 2mm EE- 721 pts F5X inspection Gate Length (nm) Cheng et. Al. IBM IEDM 2009 Record and reproducible low A VT of Lg=25nm (32/28nm GR) B.Doris et al., FD Workshop at SFO, /10/ SOITEC Confidential FD-SOI technology

18 Planar Bulk vs FDSOI Vt Variation 0.03 V t V ariation Retrograde Bulk sigma Vt (V) FDSOI L g (n m ) S O I Retro B ulk Uniform B ulk FDSOI shows best results in variation (throughout gate length) Source: UCB/Soitec, SOI 2009 Conference 50-60% Vt variation improvement by using undoped channel retains with technology scaling 10/10/ SOITEC Confidential FD-SOI technology

19 Single Port 4Mb SRAM: No Back Bias Improved memory minimum voltage 28nm LP Bulk vs 28nm FDSOI from STMicroelectronics (gate-first HKMG Technology) 10/10/ SOITEC Confidential FD-SOI technology

20 10/10/ SOITEC Confidential FD-SOI technology C2 - Confidential

21 FDSOI for RF & Analog Beyond 28nm Bulk FDSOI key analog differentiations Higher Analog Performance vs Bulk Lower variability than bulk Lower Capacitance enabling higher frequency Lower Phase noise Remaining planar On the fly Vt tuning New circuit topology enabler : double gate transistor Analog : VT, Fmax, Gm, Ioff,. FDSOI Bulk CMOS Ideal for next generations transceivers and SoC Vgs Lmin Id Variability (Undoped Channel) Fmax > 300Ghz 10/10/ SOITEC Confidential FD-SOI technology

22 FD-SOI Transistor Advantages UTBB FDSOI Transistor Advantages Courtesy of STM Body Biasing Knobs to control Perf/Power: Gate bias Back Bias Total dielectric isolation Ultra thin Body No channel doping Ultra thin BOX option Channel mobility boost Conventional planar processing Lower S/D capacitances Lower S/D leakage Latch-up immunity Excellent SCE (SS, DIBL) No History Effect Lower SER Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Enables Extended body biasing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

23 Electrostatic Scaling Rule of FDSOI down to Lg~10nm 5nm Electrostatic control improved by thinning T box and T Si Superior short-channel control maintained with scaling Scalability possible down to Lg~10nm, thanks to UTBB FDSOI 10/10/ SOITEC Confidential FD-SOI technology

24 Threshold voltage (V) Multi-VT Solution for FD-SOI with Dual Metal Gate/Ground Plane 0,8 0,6 0,4 0,2 0-0,2-0,4-0,6 LVT RVT HVT SHVT nmos GP-N GP-P pmos Logic GP change GP-P GP-N SRAM metal change GP-N GP-P GP-P GP-N nmos TiN BOX N-GP nmos TiN BOX P-GP LVT RVT pmos TaAlN BOX P-GP pmos TaAlN BOX N-GP GP change Metal change nmos TaAlN BOX N-GP nmos TaAlN BOX P-GP HVT SHVT pmos TiN BOX P-GP pmos TiN BOX N-GP -0,8 TiN TaAlN/TaN O. Weber et al., IEDM 10 Multi Vt requirement for SoC can be achieved for FDSOI device using dual WF metal-gate and ground-plane approach without back-bias 10/10/ SOITEC Confidential FD-SOI technology

25 Multi-VT Modulation for FDSOI with Back Bias Leti- VLSI 2010 Q. Liu, ST, VLSI 2010 VT tuning with BOX = 10nm and VBB, GP N and PMOS: VT modulation of 200mV for 10nm BOX No degradation of Ion-Ioff trade-off with back-bias up to +/-2V 10/10/ SOITEC Confidential FD-SOI technology

26 Body-Bias enabling wider dynamic operating range NXP example (BB level 0) Source: NXP presentation at SOI Consortium, Santa Clara, April /10/ SOITEC Confidential FD-SOI technology

27 FD-SOI with Back Bias enables 0.4v Operation for IoT FDSOI 0.08um2 SRAM (80nm CPP) V BB 10/10/ SOITEC Confidential FD-SOI technology Q. Liu, et. al. VLSI Symposium 2011 R. Tsuchiya, et. al. IEDM 2007 SRAM remains functional down to V DD =0.4V Clear SNM modulation from back bias Both Stability and Vt variation improved with RBB Lower Vdd for logic operation with software controlled Back-bias enables SoC Product with tremendous power saving (>70%)

28 22nm FDX Platform Features: ULL, ULP & RF Source : R. Carter, GlobalFoundries, IEDM /10/ SOITEC Confidential FD-SOI technology

29 22nm FDX Platform Features: ULL, ULP & RF 10/10/ SOITEC Confidential FD-SOI technology

30 10/10/2017 SOITEC Confidential FD-SOI technology C2 - Confidential 30

31 FD-SOI Transistor Advantages UTBB FDOI Transistor Advantages Total dielectric isolation Lower S/D capacitances Lower S/D leakage Latch-up immunity Ultra thin Body Excellent SCE (SS, DIBL) No History Effect Lower SER No channel doping Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Courtesy of STM Body Biasing Ultra thin BOX option Enables Extended body biasing Knobs to control Perf/Power: Gate bias Back Bias Channel mobility boost Conventional planar processing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

32 FDSOI Scalability: Boosters Roadmap 28nm FDSOI 22/14nm FDSOI 12/10nm FDSOI 2nd gen RSD + csige 10/10/ SOITEC Confidential FD-SOI technology

33 FDSOI Performance Booster: local Ge Condensation for PMOS 10/10/ SOITEC Confidential FD-SOI technology

34 Strain SiGeOI by Ge Condensation of SOI Source: S. Nakaharai, Appl. Phys. Lett. 83, 3516 (2003); S. Nakaharai et al, MIRAI, APL, V83, no17, 2003 I Ion= WC v DSAT ox sat µ ( V V ) eff G T µ + 2 v L/( V V ) eff sat G T Local Ge condensation with various Ge content/strain level has been used to boost hole mobility or P-type MOSFET performance 10/10/ SOITEC Confidential FD-SOI technology

35 Performance of PMOS using csige channel formed by Local Ge Condensation Source: IBM, Cheng et. al, IEDM % NMOS does not degrade with local Ge condensation process Compressive SiGechannel PMOS improved by 35% over unstrained Si FDSOI 10/10/ SOITEC Confidential FD-SOI technology

36 ARM says 22nm FD-SOI with proper BB optimization outperforms FinFET at pure performance standpoint Selective back-gate biasing is developed by ARM Total Power 14FinFET 22FD-SOI Using proper BB on 22nm FD-SOI can achieve higher performance/power tradeoff as those of 14 FinFET technology for ARM HP CPU (Cortex- A72) Performance Source : ARM, SOI Consortium San Jose /10/ SOITEC Confidential FD-SOI technology

37 FDSOI Performance Booster: Tension Strain Si Channel for NMOS 10/10/ SOITEC Confidential FD-SOI technology

38 Strained Si on Insulator (ssoi) for boosting NMOS Performance 75% 27% 10/10/ SOITEC Confidential FD-SOI technology Source: IBM, Khakifirooz et. al, VLSI- 2012

39 10nm FD-SOI and DC Performance Comparison 10/10/ SOITEC Confidential FD-SOI technology Source: Q. Liu et. al, IEDM 2014

40 FD-SOI Transistor Advantages UTBB FDOI Transistor Advantages Total dielectric isolation Lower S/D capacitances Lower S/D leakage Latch-up immunity Ultra thin Body Excellent SCE (SS, DIBL) No History Effect Lower SER No channel doping Improved V T variability Improved mismatch (SRAM & analog) Better analog gain Reduced process cost Courtesy of STM Body Biasing Ultra thin BOX option Enables Extended body biasing Knobs to control Perf/Power: Gate bias Back Bias Channel mobility boost Conventional planar processing Scalable down to 10nm Lower manufacturing risk Equivalent bulk design UTBB: Ultra-Thin Body and BOX 10/10/ SOITEC Confidential FD-SOI technology

41 Simplicity of the FDSOI Integration Shallow Trench isolation Si BOX Si substrate Gate Process SEG of SD region Spacer and NiSi for SD contact 1- Isolation: Shallow Trench Isolation Define the bulk/soi area (hybrid option) Ground plane implant 2- Gate Stack: Sac Ox and High-K deposition Metal gate & poly Si deposition Gate stack patterning, etch, HfO2 removal 3- Source/Drain formation Selective epitaxy growth (insitu doped or undoped with extension & S/D implant Salicidation 4- MOL and BEOL ILD/Via/Metal Interconnect Backend 10/10/ SOITEC Confidential FD-SOI technology

42 28nm FDSOI Process Migration Process steps 10/10/ SOITEC Confidential FD-SOI technology

43 Global Foundries 22nm FD-SOI Process Flow 38 Masks and 8 metal Levels 10/10/ SOITEC Confidential FD-SOI technology

44 65/45/28nm FDSOI UTBB substrate Elevated Si EPI S/D N+ Si Epi NMOS PMOS N+ Si Epi P+ Si Epi P+ Si Epi Ground Plane Ground Plane 22/14nm FDSOI UTBB substrate SiGe Channel for PMOS Insitu doped SiGe and SiC EPI for S/D P-Si(C) NMOS P-Si(C) B-SiGe PMOS B-SiGe Ground Plane 10nm FDSOI ssoi substrate SiGe Channel for PMOS Insitu doped SiGe & Si(C) EPI for S/D NMOS PMOS P-Si(C) P-Si(C) B-SiGe B-SiGe Ground Plane Ground Plane 10/10/2017

45 FD-SOI: FinFET performance at lower manufacturing cost 10/10/ SOITEC Confidential FD-SOI technology

46 Agenda 1 FD-SOI technology overview 2 Markets, foundries offers & ecosystems 3 FD-SOI material & roadmap 4 Summary 10/10/ SOITEC Confidential FD-SOI technology

47 FD-SOI brings many differentiation in Mobile, IoT, 5G & Automotive markets Mobility Best Power/Perf/Cost solution for Low-mid tier Baseband + AP 4G transceiver integration 5G mmwave design 5G & Radars Ideal technology for 5G mmwave low power single chip solution with integrated PA <6GHz applications w/ 35-50% die shrink (LTE, Wifi, ) IoT Perfect fit for wireless & ULP / ULL IoT clients in need of : On-demand processing performance Integrated RF Embedded memory Automotive Unique advantages in low power/ high reliability (SER) ADAS (<5W) for autonomous driving Radar - Mid to long range single chip Infotainment MCU for Body Electronics FD-SOI global wafers estimate: 10/10/ SOITEC Confidential FD-SOI technology 2021 (est.): 1-3M wafers/y. Source : Soitec estimates

48 The FD-SOI Revolution has started in Consumer & Automobile Consumer: a game changer technology for better battery life FD-SOI technology unlocks battery-powered device potential Automotive : best power efficiency allowing simpler integration and enhanced reliability FD-SOI - reference technology for ADAS level 3 applications Next generation e-cockpit solution with full management of car infotainment FD-SOI based Sony GPS to cut standard GPS power consumption by 5 to 10x Now, more than a day autonomy with GPS ON i.mx based reference platform developed by NXP for Amazon s Alexa FD-SOI is a key enabler of the i.mx reference platform for always-on applications Level 3 autonomous driving only 3W Advanced features - object recognition through neural network 10/10/ SOITEC Confidential FD-SOI technology

49 FD-SOI: Strong traction from foundries Samsung GlobalFoundries 10/10/ SOITEC Confidential FD-SOI technology

50 28nm FD-SOI cheaper cost per gate & design cost 10/10/ SOITEC Confidential FD-SOI technology

51 FD-SOI A mainstream solution with many choices Performance & density at any cost - for Servers, networking & High-end Mobile FinFET 7nm FinFET 10 nm FinFET 16/14nm HK, PolySi 28nm Bulk 90/65/45 nm R.Martino - Shanghai FD SOI Forum Sept /10/ SOITEC Confidential FD-SOI technology

52 FD-SOI A mainstream solution with many choices Performance & density at any cost - for Servers, networking & High-end Mobile FinFET 7nm FinFET 10 nm Cost-effective & performance for Low-power applications FD-SOI 12 nm FD-SOI 18 nm emram FinFET 16/14nm FD-SOI 22 nm emram HK, PolySi 28nm FD-SOI 28 nm emram Bulk 90/65/45 nm FD-SOI 65 nm 10/10/ SOITEC Confidential FD-SOI technology

53 FD-SOI: ecosystem getting stronger Latest Announcements GLOBALFOUNDRIES Research Technology & IP A rapidly growing FD-SOI ecosystem Substrates Foundries&IDM Fabless & OEMs Consumer Products GLOBALFOUNDRIES and the Chengdu municipality to invest 100M$ to build a world-class FD-SOI ecosystem including multiple design centers and accelerate adoption of 22 FD-SOI (FDX) in China Increasing 22 FD-SOI capacity: +40% est. in Germany by 2020 New 300 mm fab. in China with expected volume production in 2019 Focus on 12 FD-SOI development & Licensees Tools & EDA IP & Design Services SAMSUNG Extension of current 28 nm FD-SOI platform by incorporating RF and embedded MRAM 18 nm FD-SOI process technology targeted for risk production in fabless under development CEA - LETI Presented path to 10nm FD-SOI IP/ EDA Vendors Active position in promoting FD-SOI 10/10/ SOITEC Confidential FD-SOI technology

54 Agenda 1 FD-SOI technology overview 2 Markets, foundries offers & ecosystems 3 FD-SOI material & roadmap 4 Summary 10/10/ SOITEC Confidential FD-SOI technology

55 FD-SOI Substrate Maturity Long collaborative history on FD-SOI Smart Cut & device experts Research Institute Donor substrate Advanced R&D Industrialisation 28FD foundry offer 22FD foundry offer FD-SOI substrate reached production maturity since 2013 Proven manufacturing capability on more than wafers +/-5Å top silicon uniformity specification met with CpK>1: all wafers, all points Differential Reflective Metrology defined to predict / protect variability on device Defectivity in line with foundry requirements >95% device wafer yield demonstrated at foundry Top silicon thickness Full wafer thickness deviation(å) (41 points per wafer, ~15k wafers) 10/10/ SOITEC Confidential FD-SOI technology

56 Thickness control > ± 1 Atomic Layer! Silicon thickness uniformity is guaranteed to within just a few atomic layers: +5Å Target -5Å Soitec FD-SOI wafer ±5Å ±1,4cm 10/10/ SOITEC Confidential FD-SOI technology

57 Standard Smart-Cut process flow and FD-SOI specifics enabling ultra thin SOI film and BOX High qualitytop wafer for thin SOI film compatibility Adapted to thin BOX Adaptedto thinsoi film Adapted to thin BOX Adaptedto thinsoi film 10/10/ SOITEC Confidential FD-SOI technology

58 Efficient collaboration with equipment makers Example of FD-SOI roughness management Enhanced smoothing with Kokusai batch anneal technology Unique chip scale thickness measurement developed with HSEB F.De Crecy CEA/LETI Simulation of silicon smoothing under high temp anneal HSEB Baldur tool FD-SOI Local Thickness Variability Within Wafer Uniformity DRM 6σ (A) < 3.0 < 3.5 < 4.0 < 4.5 < 5.0 < 5.5 < 6.0 < 6.5 < 7.0 > 7.0 Tool customized with new deposit management for SOI manufacturing New tool redesign ongoing for enhanced flow managment to achieve ultimate FD-SOI roughness & uniformity Collaboration on Differential Reflective Metrology development Full map thickness measurement a critical parameter for FD-SOI 10/10/ SOITEC Confidential FD-SOI technology

59 A multi-nodes FD-SOI Product Roadmap Target node 65FD 28FD 22FD 12FD Beyond 12FD Box thick. 15nm 25nm 20nm 15 20nm 20nm FD-SOI substrate Top Si unif Top Si thick. Top Si Mob. ± 1.0 nm 30 nm Si unstrained ± 0.5 nm 12 nm Si unstrained ± 0.5 nm 12 nm Si unstrained ± 0.4 nm 12 nm Si unstrained tbd Pending device Si / SiGe Strained / relaxed Industrial status Prod. Prod. Prod. Dev. R&D 10/10/ SOITEC Confidential FD-SOI technology

60 SOITEC FD-SOI wafer capacity UP TO 1.5MWAFERS/YEAR CAPACITY WITHIN EXISTING FACTORY Phase 1 Phase 2 Phase 3 3Mw/y 1.5 Mw/y 100 Kw/y 500 Kw/y Soitec Capacity only. Not taking into account SOITEC licensees Detailed Capex and Operational plans are defined for Bernin 2 (up to 500kw) and Singapore (up to 1Mw) Will be triggered in coordination with foundries demand Phase 2: Singapore fab will get full qualification at customer level in first half 2019 Phase 3 (additional >1,5Mw) is in planning stage different options (locations, partners) are being considered estimated time to qualified fab: 24 months timing will be coordinated with foundries 10/10/ SOITEC Confidential FD-SOI technology Today +6 months +18 months Next Phase*

61 Summary FD-SOI enables far better scalability and transistor characteristics than planar bulk higher performance lower power better reliability wider operating range through its back-bias capability FD-SOI is a simpler and cost-efficient process/design than other fully depleted approaches FD-SOI allows very strong differentiation in low power & 5G markets FD-SOI roadmap down to 12nm is already definded by foundry The value of FD-SOI is now recognized by fabless and is about to be introduced in high volume consumer products FD-SOI is enabled by SOITEC engineered substrates SOITEC has the capacitity to support multi-million wafers/year supply chain FD-SOI ecosystem is getting ready 10/10/ SOITEC Confidential FD-SOI technology

62 THANK YOU SOITEC Confidential FD-SOI technology 10/10/

63 Disclaimer Exclusive property of Soitec. This document contains confidential information. Disclosure, redisclosure, dissemination, redissemination, reproduction or use is limited to authorized persons only. Disclosure to third parties requires a Non Disclosure Agreement. Use or reuse, in whole or in part, by any means and in any form, for any purpose other than which is expressly set forth in this document is forbidden. 10/10/ SOITEC Confidential FD-SOI technology

SOI technology platforms for 5G: Opportunities of collaboration

SOI technology platforms for 5G: Opportunities of collaboration SOI technology platforms for 5G: Opportunities of collaboration Dr. Ionut RADU Director, R&D SOITEC MOS AK workshop, Silicon Valley December 6th, 2017 Sourcing value from substrate Robert E. White ISBN-13:

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

www.soiconsortium.org By Xavier CAUCHY, Digital Applications Manager, Soitec xavier.cauchy@soitec.fr with François ANDRIEU, Senior Research Engineer, LETI April 2010 SOI Industry Consortium Questions and

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016

FD-SOI FOR RF IC DESIGN. SITRI LETI Workshop Mercier Eric 08 september 2016 FD-SOI FOR RF IC DESIGN SITRI LETI Workshop Mercier Eric 08 september 2016 UTBB 28 nm FD-SOI : RF DIRECT BENEFITS (1/2) 3 back-end options available Routing possible on the AluCap level no restriction

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Highlights in Microtechnology HiM 2014, EPFL IMT-NE, June 18 th, 2014

Highlights in Microtechnology HiM 2014, EPFL IMT-NE, June 18 th, 2014 Highlights in Microtechnology HiM 2014, EPFL IMT-NE, June 18 th, 2014 CMOS scaling down for digital, analog & mixed signals in microelectronics circuits & systems EPFL STI IMT-NE ESPLAB Pierre-André Farine

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.1: Nanoscale FET Anda Mocuta Introduction: technologies/concepts covered by the Roadmap

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

Test Structures Basics Part 1

Test Structures Basics Part 1 Test Structures Basics Part 1 By Christopher Henderson In this document we will provide an overview of test structures as they pertain to reliability. Test structures can provide critical insight into

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Aikaterini Papadopoulou Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No.

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency Philippe Magarshack, Philippe Flatresse, Giorgio Cesana STMicroelectronics Technology R&D Crolles, France philippe.magarshack@st.com

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Technology Advantages for Analog/RF & Mixed-Signal Designs

Technology Advantages for Analog/RF & Mixed-Signal Designs Technology Advantages for Analog/RF & Mixed-Signal Designs Andreia Cathelin STMicroelectronics, Crolles, France SOI Consortium Forum, Tokyo, January 21 st, 2016 Agenda 2 At a glance ST 28nm UTBB FD-SOI

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

HOW TO CONTINUE COST SCALING. Hans Lebon

HOW TO CONTINUE COST SCALING. Hans Lebon HOW TO CONTINUE COST SCALING Hans Lebon OUTLINE Scaling & Scaling Challenges Imec Technology Roadmap Wafer size scaling : 450 mm 2 COST SCALING IMPROVED PERFORMANCE 3 GLOBAL TRAFFIC FORECAST Cloud Traffic

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) SRC Device Sciences 2005 Modeling and Simulation Task Force Contributing organizations: Axcelis, Freescale, IBM, Intel, LSI, SRC,

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

BiCMOS055 Technology Offer

BiCMOS055 Technology Offer BiCMOS055 Technology Offer STMicroelectronics Technology & Design Platforms, Crolles February 2016 Best-in-class BiCMOS BiCMOS055 (B55)* is: The latest BiCMOS technology developed in STMicroelectronics

More information

A Review of Low-Power VLSI Technology Developments

A Review of Low-Power VLSI Technology Developments A Review of Low-Power VLSI Technology Developments Nakka Ravi Kumar Abstract Ever since the invention of integrated circuits, there has been a continuous demand for high-performance, low-power, and low-area/low-cost

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world

Lecture 6. Technology Trends and Modeling Pitfalls: Transistors in the real world Lecture 6 Technology Trends and Modeling Pitfalls: Transistors in the real world Guest lecturer: Jared Zerbe Rambus Inc jared@rambus.com Copyright 2004 by Mark Horowitz Some Figures courtesy of C. Enz,

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

SiGe HBT Technology Development in the DOTSEVEN Project

SiGe HBT Technology Development in the DOTSEVEN Project SiGe HBT Technology Development in the DOTSEVEN Project Alexander Fox 1, Bernd Heinemann 1, Josef Böck 2, Klaus Aufinger 2 1 IHP, 2 Infineon Technologies AG Open Bipolar Workshop 3 October 2013, Bordeaux

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

InAs Quantum-Well MOSFET for logic and microwave applications

InAs Quantum-Well MOSFET for logic and microwave applications AWAD June 29 th 2012 Accelerating the next technology revolution InAs Quantum-Well MOSFET for logic and microwave applications T.-W. Kim, R. Hill, C. D. Young, D. Veksler, L. Morassi, S. Oktybrshky 1,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

The 28 nm CMOS Power Amplifier

The 28 nm CMOS Power Amplifier The 28 nm CMOS Power Amplifier IECC 2017 Keynote talk 2017-05-19 Ted Johansson, Integrated Circuits and System, Dept. of Electrical Engineering, Linköping University, Sweden ted.johansson@liu.se Outline

More information

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff. CMOS Technology 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates poly pdiff metal ndiff Handouts: Lecture Slides L03 - CMOS Technology 1 Building Bits from Atoms V in V

More information

Engineered substrates - at the heart of 4G/5G FEM evolution

Engineered substrates - at the heart of 4G/5G FEM evolution Engineered substrates - at the heart of 4G/5G FEM evolution Bernard ASPAR EVP Communication & Power Business Unit, Soitec SOI Consortium, Shanghai, September 2018 1 FEM market 2 RF-SOI 3 POI RF FEM market

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Advanced MOSFET Basics. Dr. Lynn Fuller

Advanced MOSFET Basics. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Advanced MOSFET Basics Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

A Process Variation Tolerant Self-Compensation Sense Amplifier Design

A Process Variation Tolerant Self-Compensation Sense Amplifier Design University of Massachusetts Amherst ScholarWorks@UMass Amherst Masters Theses 9 - February 24 28 A Process Variation Tolerant Self-Compensation Sense Amplifier Design Aarti Choudhary University of Massachusetts

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information