Bridging the Gap between Dreams and Nano-Scale Reality

Size: px
Start display at page:

Download "Bridging the Gap between Dreams and Nano-Scale Reality"

Transcription

1 Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor 28 July 2006

2 Outline Deficiencies in Boolean-based Design Rules in the sub-wavelength regime Patterning Distortion effect on Design Strain Si demands Layout discipline Nano-scaled CMOS matching issues CD control critical but getting difficult Wire (Litho & CMP) distortion changes designed characteristics, timing and even causes yield loss How do we Bridge the gap Summary 2

3 DRC Clean Alone is Not Sufficient Bridging on Silicon Found 3

4 Shorts Paradox 4

5 Layout Sensitivities of DSL Tensile nit Contact Compr nit N P ST oxide Buried tensile Compr N P Dual Stress Liner Fig. 5. SEM cross-section of an SRAM cell features tensile and compressive liner in NMOS and PMOS respectively. Poly pitch Contact space to Poly Contact Pitch Affects the channel strain and Mobility 5

6 Effect of Contact Perforation on CESL Stress Contact perforation of CESL can result in drive current variation Stress Simulation courtesy of V. Moroz (Synopsis) 6

7 Poly CD variation impact on yields & performance 6% decrease in Lpoly causes Iddq failures

8 Poly CD variation impact on yields Increases mean Vt but decreases sigma Large reduction in mean Vt & significantly increases sigma 0V Vt

9 Random Doping Effect Both devices have V threshold dopants in the channel 0.56V threshold depletion region Source: Random Dopant Induced Threshold Voltage Lowering and Fluctuations in Sub-0.1 um MOSFET s: A 3-D Atomistic Simulation Study, Asen Asenov, IEEE Trans on Electron Devices, Vol 45, No 12, pp , Dec

10 Compounding the problem Chip surface compounding the problem Caused by Design s Impact on CMP Eats into DOF margin compounding the problem Chip Surface Wafer Surface Source: Praesagus (Cadence) Source: PRAESAGUS 10

11 A Growing Problem Additional Metal Layers Compound the Topographical Impact of CMP induced variability Additional Metal Layers Compound the Topographical Impact Source: Praesagus (Cadence) Source: PRAESAGUS 11

12 Bridging the Gap Design solutions 12

13 Design participation to reduce CD variation Uni-direction Poly ~4% Critical circuits on 3 predetermined pitches or less ~4% Minimizes IDsat variations as well (more later) Wider Poly CD for hold time cells as well as large slack paths Blaze Timing aware poly length targetting 13

14 Bridging the Gap: Litho Friendly Design LFD Min diff opening Min diff to poly space Min diff corner to poly space A) B) Improved layout Figure 10.1 Source: Nano-CMOS Circuit and Physical Design 14

15 Layout styles need to change in the Sub-wavelength regime diffusion Potential short for shorts Source: Nano-CMOS Circuit and Physical Design 15

16 Litho Friendly Design Improves Yield No short Diffusion short A) Figure 10.7 B) Improved Source: Nano-CMOS Circuit and Physical Design 16

17 Layout effect on Transistor drive Contact (CA) and adjacent Poly (PC) which relaxes the strain in adjacent transistor PC to PC distance CA CD PC to CA F F At min contacted pitch, most of the CA nitride is consumed by the CA Force applied by strain nitride film is proportional to volume and proximity of the film to the channel The film volume & proximity is modulated by : Poly-to-Poly distance CA CD and CA-to-PC distance CA pitch 17

18 Stress Distribution Around Contacts Top view of an scesl MOSFET with 3 contacts Stress distribution before contact etch Contact-induced stress relaxation Stress Simulation courtesy of V. Moroz (Synopsys) 18

19 Remote Versus Nearby Contacts Low channel stress High channel stress Contacts are 60nm from the gate Contacts are 90nm from the gate Contacts are 180nm from the gate Stress Simulation courtesy of V. Moroz (Synopsys) 19

20 Stress Variation Caused by Contact-to-Poly Spacing Tensile stress liner assumed. Source: Synopsys 20

21 Effect of Contact & Dummy Poly on Channel stress 1.2 Normalized Average Channel Stress min PC pitch min PC pitch Self stress Dummy PC Layout All PC and CA pitch are minimum pitch Dummy PC+CA contacts 21

22 PMOS with e-sige Structure in S/D 22

23 45nm library cell Source: Synopsys 23

24 Low Vt Mismatch σ Vtn Low Vt Regular Vt nmos pmos Distance to n-well Distance to n-well Source: Greg Starr 24

25 Shallow Trench Isolation STI STI STI Amount of stress varies depending on Active size and trench size Larger the spacing between trenches, the less the overall stress 1. Need dummy active to keep trench size consistent 2. Use dummy transistors to increase spacing between trenches Source: Nano-CMOS Circuit and Physical Design 25

26 Use of Dummy Devices to Reduce STI effect device0 device1 device2 Thin Oxide Device dummies device0 device1 device2 dummies % difference in IDsat from no STI dummies device0 device1 device2 dummies device0 & 2 device Number of Dummy Devices Source: Greg Starr 26

27 Decoupling Capacitor Choice of decoupling cap layout matters - A LOT! Capacitor has too much series resistance Parasitic resistance will make the effective capacitance small Source: Greg Starr Significantly reduces the channel resistance making the cap more effective 27

28 Proximity to well and orientation: change in Vt Preferred orientation to well edge 1 2 Better matching Bad for matching Source: Nano-CMOS Circuit and Physical Design 28

29 Bridging the Gap New Design Tools 29

30 A Flipflop or a Litho Test Pattern? Cell Layout Poly-Diffusion Contours Print Simulation courtesy of ClearShape 30

31 Gate and diffusion sensitive area: Layout #1 #2 31

32 Gate and diffusion sensitive area #1 #2 Print Simulation courtesy of ClearShape 32

33 Gate and diffusion sensitive area #3 33

34 Gate and diffusion sensitive area #3: Contours Print Simulation courtesy of ClearShape 34

35 OPC Recipe Very Important if Design is Challenging Print Simulation courtesy of Brion 35

36 Slight OPC change can have an impact on CD 126nm 133nm 125nm 130nm 159nm 130nm 129nm 129nm 126nm 156nm Blue: Diff, Black:Poly OPC_1, Red: Poly OPC_2 36

37 OPC Recipe Critical These transistors are supposed to be identical, they are not This causes cell imbalance and result in reduced SNM Soft failures at low Vdd OPC accuracy is critical Can operate at lower Vdd Better yield 37

38 OPC Recipe is Critical A B Misalignment Beta diff (A) Beta diff (B) sigma CD (A) sigma CD (B) % 1.44% % -0.59% % 5.04%

39 Automatic Hotspot Correction Source: Takumi-Tech 39

40 Example 2: Automatic Hotspot Correction FR = 96.3 ppb FR = 79.3 ppb Source: Takumi-Tech 40

41 Calibrated Print Simulation Source: Chartered Semiconductor 41

42 65nm Vth Variability versus Width L=0.06 W: 3.2um 1.6um Geometry 1 Geometry 2 0.8um 0.4um 0.2um 0.12um 0.1um

43 65nm Vth Mismatch (nmos) SPICE s(dvth) Measured L=0.6um Measured L=0.06um /squrt(LW) [1/um] Vth mismatch shows strong L-dependency This effect needs to be taken into account in design 43

44 Process Can Shift Entire Chip Along Curve Global CD bias moves the chip along the process s chip-level IDDQ-FMAX curve Nominal Log (IDDQ) Pushed Global Bias FMAX Source: Chartered Semiconductor and Blaze 44

45 Blaze Can Shift Each Device Independently Move each device along the Ioff-Ion curve e.g., 30% leakage savings with minimal or no timing impact Chip moves off the process s chip-level IDDQ-FMAX curve to achieve lower IDDQ for the same FMAX Nominal Log (IDDQ) Pushed Global Bias Ideal Result of Blaze Bias: IDDQ reduction with no FMAX hit Actual Result of Blaze Bias: Better IDDQ reduction than in simulation but slight FMAX hit FMAX Source: Chartered Semiconductor and Blaze 45

46 LFD: Parametric Benefits - Timing Optimized Cell Pattern robustness translates into more consistent timing Source: Mentor Graphics 46

47 LFD: Parametric Benefit - Power Better CD control across Focus & exposure window Leakage robustness Power predictability Move this edge away from the active edge After Layout changes OPC can be less aggressive - pinching & flaring into active avoided resulting in a more constant CD across the entire channel This design results in higher CD variability due to Patterning distortion Pinching here can result from the need for more aggressive OPC to correct for the channel length Source: Mentor Graphics 47

48 CMP Related Yield Loss: Praesagus Bridging/Pooling Prediction pinpointed the short Metal X+1 Metal X Short Between Lines on M5 1.5 um Space too small for dummy fill. Passes all design rules Source: Praesagus 48

49 Pass functional intent to manufacturing flow Preferential treatment of critical circuits etc Leads to improvement of MDP and Mask build TAT without sacrificing patterning accuracy Pass limits of manufacturing flow to the designers Avoid shapes that cannot be manufactured or verified Limit Poly orientation & pitch for lower variation New tools will be needed to make this work With embedded mfg limits much like DRC decks Timing aware Poly CD biasing (IDDQ improvement, min timing impact) Tools to guide physical design for better print distortion tolerance Stress Proximity Effects Summary Must be considered in race circuits (hold time; self timed etc) 49

50 Anomalous Behavior of Channel Length in Vt Must be designed for especially in matched devices Contour based extraction Summary (cont) Captures device size distortions in extracted simulations 50

51 Bibliography References: 1. C. Spence, AMD, Mask Data Preparation Issues for the 90 nm Node: OPC Becomes a Critical Manufacturing Technology, Future Fab Intl. Vol B. Lee et al, IBM, A Fixed Abrasive CMP Model, Proc. CMP-MIC, pp , Santa Clara, CA, Mar et al., Nano-CMOS circuit and physical design, John Wiley and Sons, Inc Chapter 10 and M. Rencher and C. McAndrew, It all begins and ends with Spice, pp , What s Yield Got To Do With IC Design?, EE Times 51

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Processing and Reliability Issues That Impact Design Practice. Overview

Processing and Reliability Issues That Impact Design Practice. Overview Lecture 15 Processing and Reliability Issues That Impact Design Practice Zongjian Chen Zongjian_chen@yahoo.com Copyright 2004 by Zongjian Chen 1 Overview As a maturing industry, semiconductor food chain

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS

Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS Reducing Variation in Advanced Logic Technologies: Approaches to Process and Design for Manufacturability of Nanoscale CMOS Kelin J. Kuhn Intel Fellow Director of Logic Device Technology Portland Technology

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

INF4420 Layout and CMOS processing technology

INF4420 Layout and CMOS processing technology INF4420 Layout and CMOS processing technology Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Aikaterini Papadopoulou Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No.

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Module 2: CMOS FEOL Analysis

Module 2: CMOS FEOL Analysis Module 2: CMOS FEOL Analysis Manufacturer Device # 2 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems.

More information

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02 EE 5611 Introduction to Microelectronic Technologies Fall 2014 Thursday, September 04, 2014 Lecture 02 1 Lecture Outline Review on semiconductor materials Review on microelectronic devices Example of microelectronic

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Fabrication, Corner, Layout, Matching, & etc.

Fabrication, Corner, Layout, Matching, & etc. Advanced Analog Building Blocks Fabrication, Corner, Layout, Matching, & etc. Wei SHEN (KIP) 1 Fabrication Steps for MOS Wei SHEN, Universität Heidelberg 2 Fabrication Steps for MOS Wei SHEN, Universität

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. MOSFET Terminals The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals. For an n-channel MOSFET, the SOURCE is biased at a lower potential (often

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

ECE 340 Lecture 40 : MOSFET I

ECE 340 Lecture 40 : MOSFET I ECE 340 Lecture 40 : MOSFET I Class Outline: MOS Capacitance-Voltage Analysis MOSFET - Output Characteristics MOSFET - Transfer Characteristics Things you should know when you leave Key Questions How do

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I MEASUREMENT AND INSTRUMENTATION STUDY NOTES The MOSFET The MOSFET Metal Oxide FET UNIT-I As well as the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available

More information

Monolithic Pixel Detector in a 0.15µm SOI Technology

Monolithic Pixel Detector in a 0.15µm SOI Technology Monolithic Pixel Detector in a 0.15µm SOI Technology 2006 IEEE Nuclear Science Symposium, San Diego, California, Nov. 1, 2006 Yasuo Arai (KEK) KEK Detector Technology Project : [SOIPIX Group] Y. Arai Y.

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell Yongchan Ban a, Savithri Sundareswaran b, Rajendran Panda b,anddavidz.pan a a Department of ECE, University of Texas, Austin, TX

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~0.4V

Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~0.4V December 7, Fujitsu Semiconductor Limited SuVolta, Inc. Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~.4V YOKOHAMA, Japan, and LOS GATOS, Calif., December 7,

More information

VCTA: A Via-Configurable Transistor Array Regular Fabric

VCTA: A Via-Configurable Transistor Array Regular Fabric VCTA: A Via-Configurable Transistor Array Regular Fabric Marc Pons, Francesc Moll, Antonio Rubio, Jaume Abella, Xavier Vera and Antonio González Universitat Politècnica de Catalunya, Electronic Engineering,

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Electrical modeling of imperfect lithographic patterning

Electrical modeling of imperfect lithographic patterning Electrical modeling of imperfect lithographic patterning Puneet Gupta* Tuck-Boon Chan, Rani S. Ghaida Dept. of EE, University of California Los Angeles (puneet@ee.ucla.edu) Work partly supported by NSF,

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

CMOS 65nm Process Monitor

CMOS 65nm Process Monitor CMOS 65nm Process Monitor Final Report Fall Semester 2008 Prepared to partially fulfill the requirements for ECE401 Department of Electrical and Computer Engineering Colorado State University Fort Collins,

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology

Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology 43 Study the Analysis of Low power and High speed CMOS Logic Circuits in 90nm Technology Fazal Noorbasha 1, Ashish Verma 1 and A.M. Mahajan 2 1. Laboratory of VLSI and Embedded Systems, Deptt. Of Physics

More information

TOTAL IONIZING DOSE EFFECTS IN ADVANCED CMOS TECHNOLOGIES. Nadia Rezzak. Dissertation. Submitted to the Faculty of the

TOTAL IONIZING DOSE EFFECTS IN ADVANCED CMOS TECHNOLOGIES. Nadia Rezzak. Dissertation. Submitted to the Faculty of the TOTAL IONIZING DOSE EFFECTS IN ADVANCED CMOS TECHNOLOGIES By Nadia Rezzak Dissertation Submitted to the Faculty of the Graduate school of Vanderbilt University in partial fulfillment of the requirements

More information

CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION. Benjamin A. Millemon Sr. A thesis

CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION. Benjamin A. Millemon Sr. A thesis CMOS CHARACTERIZATION, MODELING, AND CIRCUIT DESIGN IN THE PRESENCE OF RANDOM LOCAL VARIATION by Benjamin A. Millemon Sr. A thesis submitted in partial fulfillment of the requirements for the degree of

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information