Manufacturing Characterization for DFM

Size: px
Start display at page:

Download "Manufacturing Characterization for DFM"

Transcription

1 Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys

2 Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure 2006 Synopsys, Inc. (2)

3 Glossary BEOL Back End Of Line process: Contacts and Interconnect Layers Critical Area Circuit area susceptible to a defect of a certain size CAA Critical Area Analysis D 0 (N 0 ) Number of defects per cm 2 DOE Design of Experiments: Variations in a group of Test Structures DUT Device Under Test: A Single Electrical Test Structure FEOL Front End Of Line process: Up to Contacts M/A Layer-to-Layer Misalignment OCV On Chip Variation OPC Optical Proximity Correction: Adjust Rectangles for known litho bias PFA Physical Failure Analysis RET Reticle Enhancement Technology: Broader term, includes phase shifting TEG Test Element Group: TestChip WAT Wafer Acceptance Test For your reference 2006 Synopsys, Inc. (3)

4 Origins of DFM DFM (Design for Manufacture) is not an invention of semiconductor industry marketing managers Design for Manufacture Strategies, Corbett, Dooner, Meleka, and Pym, Addison-Wesley, Towards Next-Generation Design-for-Manufacturability (DFM) Frameworks for Electronics Product Realization, Bajaj, et. al., 2003 IEEE/CPMT/SEMI Intl. Electronics Manufacturing Technology Symposium For your reference 2006 Synopsys, Inc. (4)

5 Key concepts of DFM 70% of cost is established in design phase Protect manufacturing from unreasonable design demands Serial Design-to-Manufacture flow increases cost Semiconductor DFM Management of the design s sensitivity to the manufacturing process Tradeoffs between Manufacturability and Yield RE-Integrated CAD Applying DFM to the Semiconductor Industry, White, Athay and Trybula, 1995 IEEE Intl. Electronics Manufacturing Technology Symposium 2006 Synopsys, Inc. (5)

6 DFM in the Semiconductor Industry DFM is not a foreign concept to us Many components exist as separate pieces DRC Decks and OPC are an example of advanced DFM practice Uniqueness of Semiconductor Industry Rate of Change Manufacturing efficiency Complexity (Kudos for being here!) Design for Semiconductor Manufacturing Perspective, White, Jr., Trybula, and Athay, IEEE Trans. on Components, Packaging and Man. Tech. Part C, VOL. 20, NO. 1, Jan Synopsys, Inc. (6)

7 Why do we need DFM? Manufacturing yields are reducing Yield learning rate is not increasing Yield excursions are increasing Verification, Tape-out, and Mask are becoming prohibitive in cost and time Reducing Predictability Designs are not taking full advantage of nanometer processes (Min. Pitch following Moore s Law, circuit size is not) Trends in Systematic non-particulate yield loss mechanisms and the implications for IC Design, Berglund, SPIE Synopsys, Inc. (7)

8 Design-Manufacturing Chain Functional Specification Schematic Physical Layout DRC (& now LCC) OPC Fracture Mask Wafer Test TCAD Design Manufacturing 2006 Synopsys, Inc. (8)

9 Place and Route and DFM Standard Cells optimized, then placed Cell 1 Cell 2 Cell 1 Cell 2 What are the lithographic implications on both cells? One Proposal: Exclusion regions What interconnection issues arise? Power supply issues? Product Design for Manufacture and Assembly, Boothrod, Dewhurst and Knight, Marcel Dekker, Synopsys, Inc. (9)

10 Example Band-aid DFM Design Rules Via Array Density rules Wide Metal (< 0.8 um is wide ) DFM Recommended Rules Redundant Vias Non-Minimum Contact-Contact spacing in S/Ds Design Intent Marking Layers Today s s Design rules are too complex for effective communication from Fab to Design 2006 Synopsys, Inc. (10)

11 Tomorrow s DFM (not next year s) Functional Specification Schematic Standard Cells Place and Route Physical Layout DRC OPC Fracture Mask Wafer Test Design Optimized / Verified Simultaneously Against Area, Power, Timing, and Yield! 2006 Synopsys, Inc. (11)

12 Tomorrow s DFM Conundrum Why is the yield low? What should it be? How do I fix it? DESIGN DAM MAD Better CAD Tools Require Better Fab Information 2006 Synopsys, Inc. (12)

13 Synopsys circa 2005 Synopsys circa 2006 Which Comes First in DFM? 2006 Synopsys, Inc. (13) Fab Data CAD Designer

14 Eggmen: TestChips for DFM DFM-Capable CAD Tools need silicon calibration Quantified information on yield loss by specific mechanism Statistically relevant sampling Correlation to actual product yield Usable Information, not just data The Key Slide! 2006 Synopsys, Inc. (14)

15 Random Yield DFM Requirements Functional Specification Schematic Standard Cells Place and Route Physical Layout DRC OPC Fracture Mask Wafer Test CAD Yield Entitlement (CAA) Wire Spreading TestChips Critical Area Calibration Yield by Layer Defect Clustering Single/Redundant Via Yield 2006 Synopsys, Inc. (15)

16 2005 ITRS 2005 ITRS: Analyzing Smaller Defects Faster Laura Peters, Senior Editor -- Semiconductor International, 1/1/2006 For your reference 2006 Synopsys, Inc. (16)

17 Random Yield Characterization Requirements Needs Large Critical Areas D 0 /N 0 by Layer Soft Failure Detection Defect Size Distribution Down to Critical Feature Sizes Spatial Results (Clustering) Conductive Barrier Layer Yeric, et al., IEEE Infrastructure IP Workshp, Synopsys, Inc. (17)

18 Soft Via Fail example Close Up of Electrical Fail Map Via Fail without voltage contrast Via Fail with voltage contrast Top down SEM showing voltage contrast for one of two fails PFA of site which showed no voltage contrast. 65nm, 8Mb, 3.2B Vias per wafer (200mm) Test time 40 minutes/wafer Infrastructure for Successful BEOL Characterization and Yield Ramp at the 65 nm Node and Below, IEEE IITC 2005, paper Synopsys, Inc. (18)

19 Random Defectivity PFA Example A 65nm Random and Systematic Yield Ramp Infrastructure Utilizing a Specialized Addressable Array with Integrated Analysis Software, ICMTS 2006, IBM and Synopsys GROUP_NAME Block DIE_ COOR Bin2 FAIL COUNT Row (0-255) Column (0-255) DD_CA-RXopen_iso 49 10_ DD_V3open_nested 53 10_ _ DD_CA-RXopen_nest _ DD_CA-RXopen_semiiso 48 8_ DD_CA-V2stkviaopen 2 9_ _ Synopsys, Inc. (19)

20 Today s Yield Challenges 100% 80% Yield Loss 60% 40% 20% Random Systematic 0% Synopsys, Inc. (20) Technology Node (nm) Source:

21 Systematic Yield DFM Requirements Functional Specification Schematic Standard Cells Place and Route Physical Layout DRC OPC Fracture Mask Wafer Test CAD P&R, STA, Cell Grading Avoid Known Fab Prob s Yield Tradeoff Calc s TestChips Quantified DRs Identified RET weaknesses 2006 Synopsys, Inc. (21)

22 Systematic Yield Characterization Requirements Metal and via printability vs. design rules, by layer Quantify the full process capability on each die Minimum line width at multiple pitches (photo and etch) Key RET issues: End-to-end, minimum area, etc. Opens and shorts as a function of CD, M/A Orientation Effects CMP Density Effects Critical Feature Identification Quantification of Yield as a function of DR (Min, Rec d) Over 8,000 different electrical open/short tests for 65nm! A 65nm Random and Systematic Yield Ramp Infrastructure Utilizing a Specialized Addressable Array with Integrated Analysis Software, Karthikeyan, et al., ICMTS Synopsys, Inc. (22)

23 Random Systematic Critical Area Critical Feature Must provide quantified yield information to feed next generation CAD 2006 Synopsys, Inc. (23)

24 Parametric Yield DFM Requirements 2006 Synopsys, Inc. (24) Functional Specification Schematic Standard Cells Place and Route Physical Layout DRC OPC Fracture Mask Wafer Test CAD P&R, STA, Cell Grading, Calibrated TCAD Quantified Components of Variation Yield Tradeoff Calc s TestChips DUT Repetition for OCV Parametric DR tests MOS Parasitic

25 Parametric Array OCV Test Block Comprehensive MOSFET Matching as a function of Area Separation Dummy cells Cross-coupling style 2006 Synopsys, Inc. (25)

26 Must Quantify Variation due to Physical Implementation Scanner Astigmatism Optical Proximity Effects Gate Leakage, RF bias 2D Contour Effects, Resist Stress CMP Effects, Active Stress 2006 Synopsys, Inc. (26)

27 Parametric Array OCV Test Block (cont.) Full Active and Poly Linewidth monitoring Isolated vs. dense, densities through forbidden pitches Orientation mid-range density (etch effects) Other components of MOS Variation (permuted with misalignment) Proximal active and poly corners Proximal implant edges Partial S/D contacting 2006 Synopsys, Inc. (27)

28 Parametric Array OCV Test Block (cont.) A/C MOS metrics NAND and NOR Oscillators help decouple NMOS/PMOS issues Enable function provides off-state leakage info Interconnect Loading (easy to compare to extractions) CBCM for direct capacitance RF Design Rules and Characterization 2006 Synopsys, Inc. (28)

29 Parametric Array OCV Test Block (cont.) Circuit-level validation: PLL, VCO, Op-Amp, Converter, Mixer Key device repetition required to mitigate OCV Resistors, MOSFETs, Capacitors repeated every 100µm Requires hundreds of tests in an OCV interaction distance: ~ 1mm Synopsys, Inc. (29)

30 Parametric Circuit/Model Correlation Device models are verified with results designers can trust BGR Op-amps Oscillators Data Converters Other circuits Device and Circuit Variations Offset Bandwidth Timing Variation Noise Cross-talk 2006 Synopsys, Inc. (30)

31 Example 65nm Parametric Characterization Set Characterization Objective 1-D OPC (gate pitch) MOSFET Matching Gate Proximity and Density Layout-based parasitic variation Interconnect Capacitance POLY and ACTIVE Corner Rules Implant Edge Rules Circuit-level variability Sub-total of Experiments Synopsys, Inc. (31)

32 Other areas of Systematic/Parametric Yield Loss Cross-talk Inductance Reflectance Noise Heat dissipation IR drop SEU Reshaping the SoC Power Design Flow, Yang and Lin, EEdesign.com 2006 Synopsys, Inc. (32)

33 Yield Solution Infrastructure 1. Test Chip 2. Data Analysis Software 3. Incoming Design Analysis 4. Product Limited Yield Characterization 5. Silicon Validation 2006 Synopsys, Inc. (33)

34 Focused Test Chip Analysis for Reduced Time to Results Increased amount of data from each 45nm wafer will be overwhelming (Terabytes per month at 65nm) Need for specialized, automated data reduction that is design aware and analysis requirement aware Set-up time lags are not tolerable for real time DFM To achieve this successfully, both the TEG features and the analysis software features must be holistic 2006 Synopsys, Inc. (34)

35 Where Does Test Fit In? With the very deep micron technologies, the impact of test is widening from a screening technology to one that helps debugging the first silicon, to a basis for repairing chips during manufacturing and in the field, to an infrastructure for diagnosis and fault tolerance. DATE Program, Synopsys, Inc. (35)

36 Summary Test is the key link between design and manufacturing (product and scribe) All CAD tools need yield info quantified in silicon for successful DFM Test / Test Chip infrastructure must be readdressed to keep up with vast information demands of DFM VLSI level design complexity Terabytes of data per wafer Required integrated data reduction 2006 Synopsys, Inc. (36)

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM 28nm and below: New Frontiers and Innovations in Design for Manufacturing Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM Outline Challenges Variability and the Limits of IC Geometrical Scaling Methodology

More information

EE 434 Lecture 2. Basic Concepts

EE 434 Lecture 2. Basic Concepts EE 434 Lecture 2 Basic Concepts Review from Last Time Semiconductor Industry is One of the Largest Sectors in the World Economy and Growing All Initiatives Driven by Economic Opportunities and Limitations

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below

Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below The New Face of Design for Manufacturability Infrastructure for Successful BEOL Yield Ramp, Transfer to Manufacturing, and DFM Characterization at 65 nm and Below Greg Yeric, Ethan Cohen, John Garcia,

More information

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Modeling and CAD Challenges for DFY Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA Outline Unphysical casing and statistical models Process gradients Gate protect diodes Shallow trench isolation

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions. Introduction - Chapter 1 Evolution of IC Fabrication 1960 and 1990 integrated t circuits. it Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity

More information

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project Feature-level Compensation & Control Workshop September 13, 2006 A UC Discovery Project 2 Current Milestones Establish industry acceptable Process-EDA test structures (LITH Y3.1) Refine test-patterns designs

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80 INF4420 Layout and technology Jørgen Andreas Michaelsen Spring 2013 1 / 80 Outline CMOS technology Design rules Analog layout Mismatch Spring 2013 Layout and technology 2 2 / 80 Introduction As circuit

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

Changing the Approach to High Mask Costs

Changing the Approach to High Mask Costs Changing the Approach to High Mask Costs The ever-rising cost of semiconductor masks is making low-volume production of systems-on-chip (SoCs) economically infeasible. This economic reality limits the

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Process and Environmental Variation Impacts on ASIC Timing

Process and Environmental Variation Impacts on ASIC Timing Process and Environmental Variation Impacts on ASIC Timing Paul S. Zuchowski, Peter A. Habitz, Jerry D. Hayes, Jeffery H. Oppold IBM Microelectronics Division Essex Junction, Vermont 05452, USA Introduction

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels

PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels PV-PPV: Parameter Variability Aware, Automatically Extracted, Nonlinear Time-Shifted Oscillator Macromodels Zhichun Wang, Xiaolue Lai and Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored in part by the Air Force Research Laboratory (AFRL/RVSE) 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 21 March 2012 This work is sponsored in part by the National Aeronautics

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996

Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996 Copy of: Proc. SPIE s 1996 Microelectronic Manufacturing Conference, Vol.2874, October 1996 Correlation between Particle Defects and Electrical Faults determined with Laser Scattering Systems and Digital

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs This work is sponsored by the Air Force Research Laboratory (AFRL/RVSE) TPOC: Mr. Kenneth Hebert 45nm Foundry CMOS with Mask-Lite Reduced Mask Costs 25 October 2011 www.americansemi.com 2011 American Semiconductor,

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

FLCC Synergistic Design- For-Manufacturing (DFM) Research

FLCC Synergistic Design- For-Manufacturing (DFM) Research Overview of FLCC DFM Opportunities, August 28, 2006 FLCC Synergistic Design- For-Manufacturing (DFM) Research Andrew R. Neureuther University of California, Berkeley 2 Feature Level Compensation and Control:

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility. Lou DeChiaro Terry Welsher

Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility. Lou DeChiaro Terry Welsher Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility Lou DeChiaro Terry Welsher www.dangelmayer.com Setting the Stage Wafer level ESD damage has long been a mystery

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

Semiconductor Devices

Semiconductor Devices Semiconductor Devices - 2014 Lecture Course Part of SS Module PY4P03 Dr. P. Stamenov School of Physics and CRANN, Trinity College, Dublin 2, Ireland Hilary Term, TCD 3 th of Feb 14 MOSFET Unmodified Channel

More information

The future of lithography and its impact on design

The future of lithography and its impact on design The future of lithography and its impact on design Chris Mack www.lithoguru.com 1 Outline History Lessons Moore s Law Dennard Scaling Cost Trends Is Moore s Law Over? Litho scaling? The Design Gap The

More information

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM Technology Transfers Opportunities, Process and Risk Mitigation Radhika Srinivasan, Ph.D. IBM Abstract Technology Transfer is quintessential to any technology installation or semiconductor fab bring up.

More information

Design for Reliability --

Design for Reliability -- Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara Increasing Failure Sources and Failure Rates design errors

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Statistical Static Timing Analysis Technology

Statistical Static Timing Analysis Technology Statistical Static Timing Analysis Technology V Izumi Nitta V Toshiyuki Shibuya V Katsumi Homma (Manuscript received April 9, 007) With CMOS technology scaling down to the nanometer realm, process variations

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

http://clicdp.cern.ch Hybrid Pixel Detectors with Active-Edge Sensors for the CLIC Vertex Detector Simon Spannagel on behalf of the CLICdp Collaboration Experimental Conditions at CLIC CLIC beam structure

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Post-OPC verification using a full-chip Pattern-Based simulation verification method

Post-OPC verification using a full-chip Pattern-Based simulation verification method Post-OPC verification using a full-chip Pattern-Based simulation verification method Chi-Yuan Hung* a, Ching-Heng Wang a, Cliff Ma b, Gary Zhang c, a Semiconductor Manufacturing International (Shanghai)

More information

PAPER. Reducing parametric test costs with faster, smarter parallel test techniques

PAPER. Reducing parametric test costs with faster, smarter parallel test techniques WHITE PAPER Reducing parametric test costs with faster, smarter parallel test techniques Jeff Kuo, Steven Weinzierl, Keithley Instruments Glenn Alers, Gregory Harm, Novellus Systems Introduction The 1999

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Design Technology Challenges in the Sub-100 Nanometer Era

Design Technology Challenges in the Sub-100 Nanometer Era (Published in the Periodical of the VLSI Society of India VSI VISION Vol 1, Issue 1, 2005) Design Technology Challenges in the Sub-100 Nanometer Era V. Vishvanathan, C.P. Ravikumar, and Vinod Menezes Texas

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

VCTA: A Via-Configurable Transistor Array Regular Fabric

VCTA: A Via-Configurable Transistor Array Regular Fabric VCTA: A Via-Configurable Transistor Array Regular Fabric Marc Pons, Francesc Moll, Antonio Rubio, Jaume Abella, Xavier Vera and Antonio González Universitat Politècnica de Catalunya, Electronic Engineering,

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

INF4420 Layout and CMOS processing technology

INF4420 Layout and CMOS processing technology INF4420 Layout and CMOS processing technology Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography Scaling of Semiconductor Integrated Circuits and EUV Lithography ( 半導体集積回路の微細化と EUV リソグラフィー ) December 13, 2016 EIDEC (Emerging nano process Infrastructure Development Center, Inc.) Hidemi Ishiuchi 1 OUTLINE

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM Jun-Fu Huang, Victor C.Y. Chang, Sally Liu, Kelvin Y.Y. Doong*, and Keh-Jeng Chang** SPICE Core Department, TSMC, Hsinchu Science-Based

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning Semicon West 2016 Acknowledgements o Stephen Tobin o Samsung Austin Semiconductor, Machine Learning o Jason Malik o Samsung Austin Semiconductor, Metrology o Dr. Dragan Djurdjanovic o University of Texas,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information