Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Size: px
Start display at page:

Download "Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction"

Transcription

1 Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University of California at Berkeley, 231 Cory Hall, Berkeley, CA **National Semiconductor Corp., 2900 Semiconductor Dr., Santa Clara, CA Abstract Amplification of reticle linewidth variations in imaging is examined through direct measurements of the mask error factor (MEF), which typically is used to describe this undesirable effect. The error observed in the aerial image linewidth is decoupled from the error in the resulting resist profile linewidth with the introduction of two separate mask error factors, namely the aerial image MEF aerial and the resist MEF resist. These MEF s are evaluated from systematic aerial image measurements and resist profile measurements on printed wafers respectively. In many cases the noise in the metrology tools used in the experiment, combined with the very high quality of the test reticle used, limit the statistical confidence of our results. However useful insight is gained on the role of the non-linearity of the resist in reducing the error observed at the wafer (MEF resist ) in comparison to the error observed in the aerial image (MEF aerial ). It is found that 180nm lines (k1=0.38) have a MEF aerial 1.5 and a MEF resist 1. The effect of scattering bars OPC on the MEF for features sizes 180nm and 220nm (k 1 factors of 0.38 and 0.47 respectively) is generally small and on the order of <10%. 1. Introduction In order to print fine features carefully controlled linewidths are needed and this control must be engineered in the presence of nonlinearities associated with imaging and the resist reaction. Aerial images are well understood and linewidths typically increase more rapidly than the mask linewidth by a factor called the "mask error factor" (or MEF). For small features in the regime of 0.4λ/NA this factor is as much as 1.5 or 2. In printing on wafers the nonlinear response of the resist material which helps convert a sloped image into a more desirable square resist profile may also play a moderating role. This paper investigates the extent to which the resist behavior may actually reduce the dependence of magnification of the linewidth. The overall effective MEF is important as it plays a key role in determining tolerances on photomasks. Developing this understanding is a challenge because other sources of variation such as across mask variations, across field variations, across wafer variation and measurement noise confound the MEF. The effect of optical proximity correction (OPC) such as scattering bars (SB) on MEF also remains an open question. A number of authors have looked at image formation effects on MEF and there are also some indications of the role of the resist [1]-[3], [6], [7]. These publications indicated that reticle variations result in magnified linewidth variations even when adjusted for the reduction ratio of the exposure tool and that this effect rises rapidly with small features. Magnification of mask dimensional error has been shown by simulation to be a concern in the k 1 <0.5 regime and is more severe for dense rather than for isolated features [1]-[3]. However it has been suggested that optical proximity corrections can alleviate mask induced CD errors [2]. Attention should also be paid when assigning reticle linewidth errors to resist linewidth errors because of the various nonlinearities of the lithography step [4]. This paper systematically compares mask, image and wafer resist profile measurements to track mask error factors for isolated and dense feature sizes of 0.4<k 1 <0.5. The merit of using scattering bars at the reticle for optical proximity correction (SB OPC) is examined for a potential reduction in the MEF. In the following section we introduce the definitions for MEF aerial and MEF resist and also describe the experimental approach in measuring these mask error factors. In the third section aerial image measurements are presented and the correlation of aerial image linewidth variations with mask linewidth variations is assessed, which leads to the MEF aerial values. Following the aerial image measurements, results from printed wafers are presented and the MEF resist is also evaluated. A large signal estimate of the MEF is then attempted as an alternative approach.

2 2. Description of the Experiment First some definitions and conventions for the mask error factor (MEF) are introduced. The mask error factor of an optical system is by convention the ratio of the change of the printed linewidth to a change in the linewidth of the mask, scaled by the demagnification of the optical system. To distinguish effects on the aerial image and the resulting resist profile we make separate definitions for the aerial image MEF (MEF aerial ) and the resist MEF (MEF resist ) as follows: LW aerial = MEF aerial LW LW mask resist = MEF resist LW mask where LW mask is the linewidth of a line on the mask, LW aerial is the linewidth of the aerial image at 25% of the maximum intensity and LW resist is the resist linewidth of the line printed on the wafer. The symbol denotes variation of the respective quantity. Note that the demagnification factor M of the imaging system is suppressed throughout our analysis and all mask dimensions are given in wafer (1X) scale. In our experiment M=4, therefore any mask dimension given in 1X scale should be multiplied by 4 to give the actual dimension on the mask. Obviously what matters most is the resist mask error factor, since the resist linewidth of the printed feature is the end result of the lithography step. The mask error factor (both MEF aerial and MEF resist ) is constant for large features with k 1 >~0.6, where k 1 =CD/ λ. However, for k 1 <~0.6 the nonlinearities become prominent and both MEF aerial and MEF resist are functions of k 1 and can be larger than unity. NA Initially the linewidth variations of 8 selected features on a binary test reticle were measured. Isolated lines of sizes 0.18µm (1X) and 0.22µm (1X) with and without scattering bar OPC were considered. Also semi-dense lines of 0.18µm with 0.27µm space and lines of 0.22µm with 0.33µm space with and without bias OPC were examined. The reticle CD measurements were done with a Zygo-Technical Instruments AMS400 system. Next, using an area on the center of the test reticle where linewidths varied by ~10nm (1X) from the nominal linewidth, the aerial images were acquired repeatedly at 25 different locations for each one of the 8 selected features. The aerial image measurements were performed with a Zeiss MSM100 (AIMS tool). Following the mask linewidth and aerial image measurements, 6 wafers were printed in order to understand the effect of reticle CD uniformity on wafer CD uniformity and on resist profile. The resist linewidths were measured in a topdown CD SEM. Details on this part of the experiment are given in section 4. The exposure tool used was a ASML/300 stepper and the CD SEM was a KLA The resist used was Shipley UV5-HS on top of BARC AR2. The illumination wavelength was λ=248nm and the partial coherence factor was σ=0.67. The AIMS measurements were performed with NA=0.53. However, the test reticle was designed for NA=0.57. Therefore wafers were printed and measured at both NA=0.53 (k 1 factors of 0.38 and 0.47 for 0.18µm (1X) and 0.22µm (1X) lines respectively) for direct comparison with the AIMS data and NA=0.57 (k 1 factors of 0.41 and 0.51 for 0.18µm (1X) and 0.22µm (1X) lines respectively). In every case we plot either the aerial image linewidth or the resist linewidth versus the demagnified mask linewidth and the slope of the best fitted line to the data corresponds to the MEF. The following table summarizes the experiment conditions: Table 1: Experimental conditions Resist/type Stepper NA AIMS tool NA Illumination λ Partial coherence Mask reduction Shipley UV5/positive 0.53 or nm X A schematic of the test reticle is given in Figure 1. It is a 6 inch binary COG clear field reticle with a cell design that is repeated 17(rows) by 13(columns) times. Each cell consists of 2 dies, the top one where no OPC was used (Control die) and the bottom one where a combination of scattering bar OPC and mask biasing was used in the design (OPC die). Note that both the Control die and the OPC die contain the same test patterns and the only difference between the two is the use of nonprinting assist features in the OPC die. Various test patterns are laid out in each die but we will only be concerned in this study with the elbows shown enlarged in Figure 1. Specifically we will examine isolated and dense y-oriented lines. Our measurements were repeated in a 5 by 5 array of identical die-pairs (25 different measurement sites) located at the center of the reticle.

3 col 1 } col 13 } line space (line/space)=(1/1.5) row 1 { LW mask,dens Control Die LW mask,iso row 17{ scattering bars (SB OPC) LW mask,dens OPC Die LW mask,iso Figure 1. Schematic of the test reticle. The basic cell which is repeated 17 (rows) by 13 (columns) times consists of a pair of dies shown enlarged at the inset. The Control die and the OPC die contain the same test designs but the OPC die uses scattering bar optical proximity correction and biasing. The inset only shows an enlargement of the elbows test patterns that were examined in this study. The test reticle was of higher quality than we anticipated and it posed certain difficulties in our study. The demagnified mask LW was always within the 5% spec and in some cases the LW variation was only 3%! The standard deviation of each 25-member population was at most 3nm. We had planned to use the linewidth variations in the mask to give a sufficiently broad spread of feature sizes which was not the case. In retrospect, it would have been better to specify programmed mask variations. 3. Aerial Image MEF from Aerial Image Measurements Aerial image measurements of the test reticle were performed in the 5 by 5 array of sites. At each site 8 measurements were made: the 0.18µm and 0.22µm lines, isolated (without OPC and with SB OPC) and dense (without OPC and with bias OPC). A complete aerial image measurement of a single site consisted of 9 through focus images of the two-dimensional intensity variation, where the focus step size was 0.25µm (1X). An instructive way to view the results of each measurement is to plot the aerial image linewidth (LW aerial ) for different intensity thresholds versus defocus. Then, the LW aerial at the 25% threshold level at best focus and at 0.3µm defocus can be used to determine the variation LW aerial due to correlated mask variation LW mask. Consequently, the optical mask error factor (MEF aerial ) can be estimated at the corresponding k 1 factor, for best focus and 0.3µm defocus conditions. Figure 2 shows an example of these Bossung-like plots (LW aerial vs. defocus) obtained from the AIMS measurement of a 0.18µm (1X) isolated line without OPC and with scattering bar OPC (this is from a single pair of Control and OPC features in Figure 1). Each of the two plots in Figure 2 shows the dependence of LW aerial on defocus for intensity thresholds from 15% to 45% with a step of 5%. Notice the improved iso-focal response of the isolated line at all threshold levels in the presence of scattering bars OPC. From these plots LW aerial at best focus and at 0.3µm (1X) defocus is immediately extractable at the 25% intensity threshold. This will appear as a single point (LW mask, LW aerial ) in subsequent plots of LW aerial vs. LW mask.

4 Control Die OPC Die Figure 2. Linewidth vs. Defocus (Bossung-like) plots for various intensity threshold levels acquired from aerial image measurements. To the left you can see the optical response of a 0.18µm isolated line without OPC (control die), whereas to the right you can see the optical response of the same line with the use of scattering bars assist features. Figure 3 depicts a plot of the aerial image LW vs. the demagnified mask LW at best focus for each of the 25 measured sites of the 0.18µm isolated lines. Two series of data are displayed in the same plot, where the first corresponds to the control lines without OPC and the second to the lines with scattering bar assist features. The solid line is a linear fit to the data from the control features and the broken line to the data with scattering bar corrected features. The resulting line equations are displayed together with the correlation coefficient R 2 of the data, for each set of data. The slope of each line is the respective MEF aerial, and MEF aerial 1.5 for the control lines without OPC and it slightly decreases to MEF aerial 1.4 for the lines with scattering bar assist features. Figure 3. LW aerial vs. LW mask at best focus for 0.18µm isolated lines, with and without OPC. The slope of the best fitted lines is the estimate of the MEF aerial at k 1 =0.38. Figure 4 shows a plot of the aerial image LW vs. the demagnified mask LW at 0.3µm defocus for each of the 25 measured sites of the 0.18µm isolated lines. Again, two series of data are displayed in the same plot, the one corresponding to the control lines without OPC and the other to the lines with scattering bar assist features. A linear fit to the data is plotted in each

5 case and the resulting line equations are also displayed together with the correlation coefficient R 2 of the data, for each set of data. The mask error factor of the corrected with SB OPC lines increases to MEF aerial 1.8 from 1.4, but no conclusion can be made for the lines of the control die because of the very poor correlation of the data in this case. The data from the aerial image measurements were noisy. This is reflected in Figures 3 and 4 in the poor linear correlation of the points (LW aerial, LW mask ), which leads to low values of R 2. The source of the noise was the CCD camera of the AIMS tool and appeared to be associated with the resolution of 25nm/pixel. 4. Resist (Wafer) MEF Figure 4. LW aerial vs. LW mask at 0.3µm defocus for 0.18µm isolated lines, with and without OPC. The slope of the best fitted lines is the estimate of the MEF aerial at k 1 =0.38. In taking wafer measurements a particular methodology was applied to reduce track coat and develop effects and average CD SEM measurements. First we exposed a calibration wafer using a FEM (focus-exposure-matrix) where we varied both focus and dose in order to determine best focus and best dose. Then, a second wafer was printed using a FEM where focus was set at best focus, as determined from the first wafer, and the exposure dose was varied with steps of 0.5mJ/cm 2 around the center dose, which was set to be equal to the best exposure dose, again determined from the first wafer. The resulting wafer contained a rectangular array of fields, where all the fields were exposed at best focus and all the fields in the same column were exposed with the same dose. In this way the field at best focus and best dose was repeated 7 times on the wafer. A third wafer was printed using a FEM with a defocus of 0.3µm from best focus and dose was varied the same way as before. These wafers were exposed with NA=0.53, which was the same as that in the AIMS measurements. The above procedure was also repeated with another set of wafers (wafers 4, 5, 6) exposed with NA=0.57. This was the NA value for which the test reticle was optimized. CD SEM measurements of the resist linewidths of every wafer (except for the calibration wafers 1 and 4) and for all 7 fields with best dose were performed at all of the 25 different sites on the reticle. At each site 8 measurements were made: the 0.18µm and 0.22µm lines, isolated (without OPC and with SB OPC) and dense (without OPC and with bias OPC). For each column of 7 measurements the outfliers were first discarded to remove coat and track effects. The remaining values (in all cases at least 5) were then used to compute the average and standard deviation to reduce the large variation in SEM measurements. Estimates of the remaining errors are depicted in the plots of this paragraph with the error bars along the y-axis, that are one standard deviation long in each direction and denote the spread of the LW resist values. Figure 5 shows plots of the resist linewidth vs. mask linewidth for the 0.18µm isolated lines, with NA=0.53. Figure 5(a) is the best focus exposure case (second wafer) whereas Figure 5(b) is the defocused by 0.3µm from best focus case (third wafer). Remember that each point is the average of the linewidths of at least 5 different fields that correspond to the same demagnified mask linewidth. Therefore the spread along the y-axis is to be interpreted as noise from the process and SEM measurements. From plot (a) observe that MEF resist 1 for the control case, and the SB OPC case only slightly decreases the MEF resist. From plot (b) observe that the MEF resist 1.3 for the SB OPC case. Although low values of coefficient R 2 are indicative of poor correlation of the data due to noise, there is still a definite trend indicating that the MEF resist 1 at best focus regardless of the presence of SB OPC and that it increases by as much as 30% as we move 0.3µm out of

6 focus. (a) (b) Figure 5. LW resist vs. LW mask for 0.18µm isolated lines, with and without SB OPC (NA=0.53). (a) best focus, (b) 0.3µm defocus. The slope of the best fitted lines is the estimate of the MEF resist at k 1 =0.38. Figure 6 shows plots of the resist linewidth vs. mask linewidth for the 0.18µm isolated lines, with NA=0.57. Figure 6(a) is the best focus exposure case (fifth wafer) whereas Figure 6(b) is the defocused by 0.3µm from best focus case (sixth wafer). The same observations apply to these two plots as for the plots in Figure 5, which strengthen the fact that MEF resist 1 for 0.38<k 1 <0.41 for best focus exposure and MEF resist 1.3 for 0.3µm defocused exposure. Note that in (b) the data series for the control die is to be discarded as very noisy and with very poor correlation. (a) (b) Figure 6. LW resist vs. LW mask for 0.18µm isolated lines, with and without SB OPC (NA=0.57). (a) best focus, (b) 0.3µm defocus. The slope of the best fitted lines is the estimate of the MEF resist at k 1 =0.41.

7 Figure 7 depicts a plot of resist linewidth vs. mask linewidth for the 0.22µm isolated lines (with and without SB OPC) at best focus and NA=0.57 (from wafer 6). In this case the R 2 is again low and nothing can be safely inferred about the MEF resist. Figure 7. LW resist vs. LW mask for 0.22µm isolated lines, with and without SB OPC (NA=0.57) at best focus. The slope of the best fitted lines is the estimate of the MEF resist at k 1 =0.51. Figure 8 depicts a plot of resist linewidth vs. mask linewidth for 0.18µm dense lines (with and without bias OPC) at best focus and NA=0.57 (from wafer 3). It is interesting that for dense lines of k 1 =0.41 there is quite strong indication that the MEF resist 1, which is also much lower than MEF values for dense lines reported by other authors. The separation on the graph of the two sets of data series takes place because the mask biasing OPC was not optimized for k 1 =0.41. Figure 8. LW resist vs. LW mask for 0.18µm dense lines, with and without bias OPC (NA=0.57) at best focus. The slope of the best fitted lines is the estimate of the MEF resist at k 1 =0.51. From all the previous plots (Figures 5-8) it is obvious that noise from the metrology tool is very dominant making it very difficult to draw definitive conclusions with high statistical confidence. The data for scattering bar OPC shows both increases and decreases in MEF resist, but the data has a low degree of statistical confidence. The noise of the experiment can, for example, be estimated from the plot in Figure 7, where the slope due to MEF resist is expected to be near unity. A total mask LW range of 8nm is seen (with σ 3nm) as compared to a total resist LW range of over 20nm (with σ 8nm). The statistical confidence increases as the correlation coefficient R 2 increases (0<R 2 <1). 5. Large Signal Estimate of the MEF In an attempt to overcome the noise limitations in our initial approach we introduced an alternative method, which we call "large signal estimate of the MEF". In this method isolated lines with mask linewidths of 0.22µm and 0.18µm were

8 treated as large signal variations (±10%) from 0.20µm linewidths. Obviously we do not expect this approach to give an accurate estimate of the MEF resist at k 1 =0.46 (NA=0.57)or the MEF aerial at k 1 =0.43 (NA=0.53), since with 10% variation we cannot claim the linear approximation to be accurate. Nevertheless, the outcome will be instructive and can reinforce many of our previous observations. Figure 9 shows a plot of LW resist and LW aerial vs. LW mask, where each x-coordinate is evaluated from subtracting linewidth values for 0.18µm lines from 0.22µm lines for all 25 measured locations and each corresponding y-coordinate comes from subtracting the average resist linewidth values or the aerial image linewidth values for the respective lines. The standard deviation along y-axis for the data from resist measurements is now the square root of the sum of the two squared standard deviations, which corresponds to uncorrelated errors. The best fitted lines have to pass through the origin, by their definition. The slope of these lines is a large signal estimate of MEF resist 1 and MEF aerial 1.3. While half of the MEF s are expected to be lower at k 1 =0.43 instead of 0.38, this data is in very good agreement with our conclusions from sections 3 and 4. As expected the MEF resist is significantly lower than the MEF aerial. Figure 9. LW resist and LW aerial vs. LW mask for isolated lines, with and without SB OPC at best focus. The slope of the best fitted lines is a large signal estimate of the MEF resist and MEF aerial for 0.2µm lines. Note that each line has to cross exactly from the origin. 6. Conclusions The mask error factor from resist linewidth measurements (MEF resist ) for 0.38<k 1 <0.51 was found to be approximately 1 for both isolated and dense lines, which is smaller than that observed in AIMS data (MEF aerial 1.5 for isolated lines) or reported from simulation (>2). The observation that the mask error magnification is nearer to unity was further reinforced by the "large signal estimate" of the MEF resist that was presented. There was also some indication that scattering bars on isolated lines (SB OPC) reduce the MEF aerial by 5%. This however is not statistically significant. The low value of MEF observed experimentally is believed to be due to the tendency of the resist to respond to the toe area of the image (region below 10% of the clear field). The low statistical confidence in determining the MEF was due to noise inherent in both aerial image and resist linewidth measurements, combined with the high quality of the mask, which did not have a sufficient spread of values to exceed the noise. For accurate evaluation of the MEF more accurate metrology tools or methods are needed. Still the resist linewidths will need to be averaged over a big population of printed fields of the same focus and dose on the wafer. Finally, a test reticle with programmed linewidth variations is required, whose magnitude exceeds the noise of the experiment. Acknowledgments The authors would like to thank Xuelong Shi and Karthik Rammohan for their support in the wafer processing and resist profile measurements at the National Semiconductor R&D facility in Santa Clara. This work was sponsored in part by the California SMART Program, Grant No. S97-01.

9 References [1] Alfred Wong, et. al., Lithographic Effects of Mask Critical Dimension Error, Proceedings of the SPIE, vol. 3334, The International Society for Optical Engineering, (Optical Microlithography XI, Santa Clara, CA, USA, February 1998.) SPIE-Int. Soc. Opt. Eng, 1998, p [2] John Randall, et. al., Reduction of Mask Induced CD Errors by Optical Proximity Correction, Proceedings of the SPIE, vol. 3334, The International Society for Optical Engineering, (Optical Microlithography XI, Santa Clara, CA, USA, February 1998.) SPIE-Int. Soc. Opt. Eng, 1998, p [3] Pei-yang Yan, J. Langston, Mask CD Control Requirement at 0.18 µm Design Rules for 193 nm Lithography, Proceedings of the SPIE, vol. 3051, The International Society for Optical Engineering, (Optical Microlithography X, Santa Clara, CA, USA, March 1997.) SPIE-Int. Soc. Opt. Eng, 1997, p [4] R. E. Gleason, Hua-Yu Liu, Impact of Photomasks on Linewidth Variation, Proceedings of the SPIE, vol. 3236, (17th Annual Symposium on Photomask Technology and Management, Redwood City, CA, USA, Sept ) SPIE-Int. Soc. Opt. Eng, 1998, p [5] Pei-Yang Yan, et. al., Mask Defect Printability and Wafer Process Critical Dimension Control at 0.25 µm Design Rules, Japanese Journal of Applied Physics, Part 1, vol. 34, no. 12B, (8th International MicroProcess Conference (MPC'95), Sendai, Japan, July 1995.), Dec. 1995, p [6] A. Vacca, et. al., 100 nm Defect Detection Using an Existing Image Acquisition System, Proceedings of the SPIE, vol. 3236, (17th Annual Symposium on Photomask Technology and Management, Redwood City, CA, USA, Sept ) SPIE-Int. Soc. Opt. Eng, 1998, p [7] W. Maurer, Mask Specifications for 193 nm Lithography, Proceedings of the SPIE, vol. 2884, (16th Annual Symposium on Photomask Technology and Management, Redwood City, CA, USA, Sept ) SPIE-Int. Soc. Opt. Eng, 1996, p

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Optical Proximity Effects, part 2

Optical Proximity Effects, part 2 T h e L i t h o g r a p h y E x p e r t (Summer 1996) Optical Proximity Effects, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of the Lithography Expert, we examined one type

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Managing Within Budget

Managing Within Budget Overlay M E T R O L OProcess G Y Control Managing Within Budget Overlay Metrology Accuracy in a 0.18 µm Copper Dual Damascene Process Bernd Schulz and Rolf Seltmann, AMD Saxony Manufacturing GmbH, Harry

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT Evaluating the Performance of a 193nm Hyper-NA Immersion Scanner Using Scatterometry Oleg Kritsun a, Bruno La Fontaine a, Richard Sandberg a, Alden Acheta a, Harry J. Levinson a, Kevin Lensing b, Mircea

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY CONTACT HOLE IMAGING AT THE.13 µm NODE USING KrF LITHOGRAPHY Carsten Kohler, Eelco van Setten, Jo Finders ASML, Veldhoven, The Netherlands This paper was first presented at the Arch Chemicals Seminar,

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology KT Park*, Martin Sczyrba**, Karsten Bubke**, Rainer Pforr*** (*) DPI assignee at AMTC GmbH & Co.

More information

Line edge roughness on photo lithographic masks

Line edge roughness on photo lithographic masks Line edge roughness on photo lithographic masks Torben Heins, Uwe Dersch, Roman Liebe, Jan Richter * Advanced Mask Technology Center GmbH & Co KG, Rähnitzer Allee 9, 01109 Dresden, Germany ABSTRACT Line

More information

In-line focus monitoring and fast determination of best focus using scatterometry

In-line focus monitoring and fast determination of best focus using scatterometry In-line focus monitoring and fast determination of best focus using scatterometry a Steven Thanh Ha, a Benjamin Eynon, a Melany Wynia, a Jeff Schmidt, b Christian Sparka, b Antonio Mani, b Roie Volkovich,

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in.6na KrF imaging Ivan Lalovic, Armen Kroyan, Paolo Zambon, Christopher Silsby À, Nigel Farrar Cymer, Inc., 1675

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

OPC Scatterbars or Assist Features

OPC Scatterbars or Assist Features OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Lithographic Process Evaluation by CD-SEM

Lithographic Process Evaluation by CD-SEM Lithographic Process Evaluation by CD-SEM Jason L. Burkholder Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract-- In lithography employed in IC fabrication, focus

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Line End Shortening, part 2

Line End Shortening, part 2 Tutor31.doc: Version 8/17/00 Line End Shortening, part 2 T h e L i t h o g r a p h y E x p e r t (Fall 2000) Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As discussed in the

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

Depth of Focus, part 2

Depth of Focus, part 2 T h e L i t h o g r a p h y T u t o r (Autumn 995) Depth of ocus, part Chris A. Mack, INL Technologies, Austin, Texas In the last column we began our search for a suitable definition for depth of focus

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012609 TITLE: Scatterometry for Lithography Process Control and Characterization in IC Manufacturing DISTRIBUTION: Approved

More information

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters

Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters Spectral Analysis of the LUND/DMI Earthshine Telescope and Filters 12 August 2011-08-12 Ahmad Darudi & Rodrigo Badínez A1 1. Spectral Analysis of the telescope and Filters This section reports the characterization

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Characterization of e-beam induced resist slimming using etched feature measurements.

Characterization of e-beam induced resist slimming using etched feature measurements. Characterization of e-beam induced resist slimming using etched feature measurements. Colin Yates a, Galen Sapp b, Paul Knutrud b a LSI Logic Corporation, 23400 N.E. Glisan Street, Gresham, OR, USA 97030

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

WFC3 TV3 Testing: IR Channel Nonlinearity Correction

WFC3 TV3 Testing: IR Channel Nonlinearity Correction Instrument Science Report WFC3 2008-39 WFC3 TV3 Testing: IR Channel Nonlinearity Correction B. Hilbert 2 June 2009 ABSTRACT Using data taken during WFC3's Thermal Vacuum 3 (TV3) testing campaign, we have

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Experimental measurement of photoresist modulation curves

Experimental measurement of photoresist modulation curves Experimental measurement of photoresist modulation curves Anatoly Bourov *a,c, Stewart A. Robertson b, Bruce W. Smith c, Michael Slocum c, Emil C. Piscani c a Rochester Institute of Technology, 82 Lomb

More information

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength E. Golan *a, D. Meshulach a, N. Raccah a, J.Ho Yeo a, O. Dassa a, S. Brandl b, C. Schwarz b, B. Pierson c, and W. Montgomery d [check

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Best Paper of EMLC 2012 Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery Avi Cohen 1, Falk Lange 2 Guy Ben-Zvi 1, Erez Graitzer 1, Dmitriev Vladimir

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Overlay accuracy a metal layer study

Overlay accuracy a metal layer study Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor,

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Golden Curve Method for OPC Signature Stability Control in high MEEF Applications

Golden Curve Method for OPC Signature Stability Control in high MEEF Applications Golden Curve Method for OPC Signature Stability Control in high MEEF Applications Authors: Katja Geidel a*, Torsten Franke b, Stefan Roling c, Peter Buck d, Martin Sczyrba a, Engelbert Mittermeier b, Russell

More information

Exhibit 2 Declaration of Dr. Chris Mack

Exhibit 2 Declaration of Dr. Chris Mack STC.UNM v. Intel Corporation Doc. 113 Att. 5 Exhibit 2 Declaration of Dr. Chris Mack Dockets.Justia.com UNITED STATES DISTRICT COURT DISTRICT OF NEW MEXICO STC.UNM, Plaintiff, v. INTEL CORPORATION Civil

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology Wei-Jhe Tzai a ; Howard Chen a ; Yu-Hao Huang a ; Chun-Chi Yu a ; Ching-Hung Bert Lin b ; Shi-Ming

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information