OPC Scatterbars or Assist Features

Size: px
Start display at page:

Download "OPC Scatterbars or Assist Features"

Transcription

1 OPC Scatterbars or Assist Features Main Feature The isolated main pattern now acts somewhat more like a periodic line and space pattern which has a higher quality image especially with focus when off-axis illumination is used. The bars must be small enough that the image at their location does not print. Add nonprinting adjacent features A typical size is about 1/3 of the minimum feature size and they are placed about a minimum feature size from the feature edge. 40

2 Resolution Enhancement Techniques Resolution Enhancement Emphasizes High Frequencies Conventional Illumination Binary Mask 0 Frequency 0 Frequency Phase Mask Modified Illumination Lens Capture 0 0 Frequency Frequency 0 0 Frequency In-Lens Filter Frequency Bokor, Neureuther, Oldham, Circuits and Devices,

3 Two Ray Infinite DOF Ray # 1 θ 1 θ 2 k y Ray # 2 k x When θ 1 =θ 2 the contributions from Ray #1 and Ray #2 track each exactly with axial distance and an INFINITE depth of focus is produced. Period = Pitch k Transverse = = 2k 0 2π k Transverse sin( θ ) λ sin( θ ) = NA λ Pitch = 2sin( θ ) 2NA Doubled Resolution! With infinite DOF Tim Brunner 42

4 Top Hat General Shapes Illumination Schemes Annular DOF, Contacts k 1 = 0.67 k 2 = 1.3 k 1 = 0.55 k 2 = 1.7 σ IN = σ OUT = 0.85 Quadruple H,V lines, DOF k 1 = 0.45 k 2 = 2.0 Pupil -1 1 Pupil Dipole V lines, DOF k 1 = 0.35 k 2 = Pupil H lines and contacts formed 1 via a double exposure Pupil The k1 factor is inversely proportional to the lateral separation of the illumination k 1 = 1/(2 x separation) 43

5 Phase-Shifting Mask Types Alternating (Strong) Attenuating (Weak) Used for Contacts 6% to 10% gives slope improvement of 30%. Sidelobe issue. Phase Edge Requires second trim mask exposure. Chromeless (Only 0 order) 44

6 Attenuating Phase-Shifting Masks Intensity of 6% comes from an electric field of Going from positive electric fields to negative electric fields increases edge slope and creates darker intensity near edge. 45

7 EE 143 Optical Lithography Lecture, A.R. Neureuther, Sp 2006 Phase-Shifting Mask: Electric Fields Sheats and Smith 46

8 Resolution Enhancement: In-Lens Filter cos ( ) j2πβ r j2πβ r 2πβr = 0.5e + 0.5e Defocus away and toward the lens. The cos(2πβr 2 ) filter creates dual defocused images that are very effective in increasing the total focal range of contact patterns. Fukuda Fukuda, JVST B Hitachi Nov/Dec 91 47

9 Double Exposure Sharp Tip uv210 on bare silicon Apex-E on on bare silicon Apex-E on 80nm silicon nitride STORM simulation of uv210 DEST assuming quencher loss on the substrate and acid loss on the surface Lei Yuan SPIE 05 STORM simulation of APEX-E DEST assuming acid loss on the substrate 48

10 Immersion Lithography Concept Imaging in a liquid medium with refractive index n offers an a factor of n reduction in resolution n 193 nm = 1.44 to 1.46 n 193nm = 1.7? Implementation: Drop and Drag Dispense water from front side of lens, use the surface tension to make the drop follow the lens, and suck in the liquid on the back of the lens. Zeiss website 49

11 Immersion Lithography: Results and Promise Larger DOF at 90nm Promise Improve resolution of 193 to that of 157 using a lower NA (0.9 => 0.77) and an increase (1.5X) in DOF. NA = 1.25 for 45 nm generation NA = 1.55 for 32 nm generation ASML web site Issues Liquid (optics), liquid (resist), liquid (machine) 50

12 EUV Projection (X-Ray ) Lithography Absorbing Mask used off-axis 13.5 nm Sn 13.4 nm wavelength NA = λ/NA = 31 nm TFR = λ/na 2 = 149 nm λ/2 layer pairs 51

13 Nano-imprint Lithography Step&Flash Imprint Lithography 30 nm dense 20 nm isolated Recent Extensions: Works over Topography Can do multiple heights (G. Willson, UT Austin) Issues: Masks, Alignment, Inspection 52

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

The Formation of an Aerial Image, part 3

The Formation of an Aerial Image, part 3 T h e L i t h o g r a p h y T u t o r (July 1993) The Formation of an Aerial Image, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two issues, we described how a projection system

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 2 T h e L i t h o g r a p h y T u t o r (April 1993) The Formation of an Aerial Image, part 2 Chris A. Mack, FINLE Technologies, Austin, Texas In the last issue, we began to described how a projection system

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn* Photon shot noise effect in EUVL Degrades stochastic imaging performance Suggestion of a thin attenuated PSM Comparing PSM with conventional

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology 15-398 Introduction to Nanotechnology Nanoscale Lithography Seth Copen Goldstein Seth@cs.cmu.Edu CMU Pushing The Limits of Photolithography Reduce wavelength (λ) Use Reducing Lens Increase Numerical Aperture

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Horizontal-Vertical (H-V) Bias

Horizontal-Vertical (H-V) Bias Tutor51.doc: Version 8/11/05 T h e L i t h o g r a p h y E x p e r t (November 005) Horizontal-Vertical (H-V) Bias Chris A. Mack, Austin, Texas A nanometer here, a nanometer there. Before long, you ve

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography John S. Petersen 1, James Beach 2, David J. Gerold 1, Mark J. Maslow 1 1. Petersen Advanced Lithography,

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node Amandine Borjon, Jerome Belledent, Yorick Trouiller, Kevin Lucas, Christophe Couderc, Frank Sundermann, Jean-Christophe

More information

Extending SMO into the lens pupil domain

Extending SMO into the lens pupil domain Extending SMO into the lens pupil domain Monica Kempsell Sears*, Germain Fenger, Julien Mailfert, Bruce Smith Rochester Institute of Technology, Microsystems Engineering, 77 Lomb Memorial Drive, Rochester,

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Double Exposure Using 193nm Negative Tone Photoresist

Double Exposure Using 193nm Negative Tone Photoresist Double Exposure Using 193nm Negative Tone Photoresist Ryoung-han Kim a, Tom Wallow a, Jongwook Kye a, Harry J. Levinson a, and Dave White b a Advanced Micro Devices, One AMD Place, Sunnyvale, CA 94088,

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Experimental assessment of pattern and probe-based aberration monitors

Experimental assessment of pattern and probe-based aberration monitors SPIE 3 54-49 Experimental assessment of pattern and probe-based aberration monitors Garth C. Robins * and Andrew R. Neureuther Electronics Research Laboratory, Department of Electrical Engineering and

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Using the Normalized Image Log-Slope, part 2

Using the Normalized Image Log-Slope, part 2 T h e L i t h o g r a p h y E x p e r t (Spring ) Using the Normalized Image Log-Slope, part Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in part of this column,

More information

Chapter 15 IC Photolithography

Chapter 15 IC Photolithography Chapter 15 IC Photolithography Advances in integrated circuit density are driven by the self-fulfilling prophecy known as Moore s law, which specifies that there is an exponential increase in circuit density

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Lecture 22 Optical MEMS (4)

Lecture 22 Optical MEMS (4) EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 22 Optical MEMS (4) Agenda: Refractive Optical Elements Microlenses GRIN Lenses Microprisms Reference: S. Sinzinger and J. Jahns,

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information

More on the Mask Error Enhancement Factor

More on the Mask Error Enhancement Factor T h e L i t h o g r a p h y E x p e r t (Fall 1999) More on the Mask Error Enhancement Factor Chris A. Mack, FINLE Technologies, Austin, Texas In a previous edition of this column (Winter, 1999) I described

More information

Lecture 8. Lecture 8. r 1

Lecture 8. Lecture 8. r 1 Lecture 8 Achromat Design Design starts with desired Next choose your glass materials, i.e. Find P D P D, then get f D P D K K Choose radii (still some freedom left in choice of radii for minimization

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Cardinal Points of an Optical System--and Other Basic Facts

Cardinal Points of an Optical System--and Other Basic Facts Cardinal Points of an Optical System--and Other Basic Facts The fundamental feature of any optical system is the aperture stop. Thus, the most fundamental optical system is the pinhole camera. The image

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Winter 1997) Resolution Chris A. Mack, FINLE Technologies, Austin, Texas In past editions of this column (Spring and Summer, 1995), we defined quite carefully what

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication

Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication Lecture 8 Chemical 4 Engineering for Micro/Nano Fabrication 3 B 2 1 Amplitude 0 l Direction -1-2 Phase Improving -3 Resolution by Wavefront Engineering -4-20 0 20 40 60 80 100 Is this our only option???

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Progress of Optical Design for EUV Lithography Tools in BIT

Progress of Optical Design for EUV Lithography Tools in BIT 2014 EUVL Workshop Progress of Optical Design for EUV Lithography Tools in BIT Yanqiu Li*, Zhen Cao, Fei Liu, Qiuli Mei, Yan Liu Beijing Institute of Technology, China E-mail:liyanqiu@bit.edu.cn June 25,

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes. NAME: Student Number:.

PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes. NAME: Student Number:. PHY385H1F Introductory Optics Term Test 2 November 6, 2012 Duration: 50 minutes NAME: Student Number:. Aids allowed: A pocket calculator with no communication ability. One 8.5x11 aid sheet, written on

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Optical Proximity Effects, part 3

Optical Proximity Effects, part 3 T h e L i t h o g r a p h y E x p e r t (Autumn 1996) Optical Proximity Effects, part 3 Chris A. Mack, FINLE Technologies, Austin, Texas In the last two editions of the Lithography Expert, we examined

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Requirements and designs of illuminators for microlithography

Requirements and designs of illuminators for microlithography Keynote Address Requirements and designs of illuminators for microlithography Paul Michaloski Corning Tropel Corporation Fairport, New York ABSTRACT The beam shaping by illuminators of microlithographic

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

Topography effects and wave aberrations in advanced PSM-technology

Topography effects and wave aberrations in advanced PSM-technology Header for SPIE use Topography effects and wave aberrations in advanced PSM-technology Andreas Erdmann Fraunhofer Institute of Integrated Circuits, Device Technology Division (IIS-B), Schottkystrasse 1,

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum eractive Power o a Surace The reractive power P is measured in diopters when the radius is expressed in meters. n and n are the reractive indices o the two media. EE-57: icrofabrication n n P n n Exposure

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography Erdélyi et al. Vol. 16, No. 8/August 1999/J. Opt. Soc. Am. A 1909 Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography M. Erdélyi and Zs. Bor Department

More information

Aerial image based mask defect detection in dense array structures

Aerial image based mask defect detection in dense array structures Aerial image based mask defect detection in dense array structures Roderick Köhle a, Mario Hennig b, Rainer Pforr b, Karsten Bubke c, Martin Szcyrba c, Arndt C. Dürr c a Infineon Technologies AG, Balanstr.

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60 Bruce Smith Y. Fan, J. Zhou, L. Zavyalova, M. Slocum, J. Park, A. Bourov, E. Piscani, N. Lafferty, A. Estroff Rochester Institute

More information

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005 Lithography Roadmap without immersion lithography Node Half pitch 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 22 nm 250 nm 180 nm 130 nm 90 nm 65 nm 45 nm 32 nm 248nm 193nm 157nm EUVL 3-year cycle: 2-year cycle:

More information

IMPACT Lithography/DfM Roundtable

IMPACT Lithography/DfM Roundtable IMPACT Lithography/DfM Roundtable Focus Match Location Z 0 Neureuther Research Group Juliet Rubinstein, Eric Chin, Chris Clifford, Marshal Miller, Lynn Wang, Kenji Yamazoe Visiting Industrial Fellow, Canon,

More information

Chapter Ray and Wave Optics

Chapter Ray and Wave Optics 109 Chapter Ray and Wave Optics 1. An astronomical telescope has a large aperture to [2002] reduce spherical aberration have high resolution increase span of observation have low dispersion. 2. If two

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography S.V. Sreenivasan 1, C.G. Willson 2, N.E. Schumaker 3, D.J. Resnick 4 1 Mechanical Engineering, University of Texas at

More information