Optical Maskless Lithography - OML

Size: px
Start display at page:

Download "Optical Maskless Lithography - OML"

Transcription

1 Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost slide 1

2 Outline Why should you consider Optical Maskless Lithography (OML)? What are we planning to build? How will OML perform? Summary slide 2

3 Why would anybody want to use Maskless Lithography? A maskless scanner could save $50k / design for 0.13 µm technology $1M / design for 90 nm technology $4.5M / design for 65 nm technology This implies the ROI of a maskless scanner can be very high 50% for 0.13 µm technology 85% for 90 nm technology Time to market for designs can be greatly reduced Multiple products on a reticle (Shuttle) can also reduce some of the costs from masks however there is often a penalty in TAT. There are a significant number of interesting things that might occur given a robust maskless lithography tool Lithography foundry, IC customization, multiple & unique designs slide 3

4 Why Optical Maskless Lithography? It will be Fab Transparent The tool will look and behave like a Step and Scan system Product can start on OML & be ported to the conventional scanner slide 4

5 OML Platform is Based on TWINSCAN Leverage Existing Litho Platform Capabilities Wafer stage Wafer alignment & focus Tool control, environmental facilities & support Fab interfaces Fab processes Key Changes Projection Optics: Higher de-magnification Illuminator: New illumination area & dose control Reticle Stage / Reticle Handler: Replaced with SLM unit and supporting data-path electronics Synchronization: Mirrors and laser are synchronized to the stage movement. Projection Optics Illumination Optics TWINSCAN XT MASKLESS slide 5

6 Significant Number of Modules Are Ready for OML Tool Field Pattern Mgmt. Illumination Projection Optics System Metrology Wafer Mgmt. System Control Platform SLM Device Laser Optics Wavefront Control (ILIAS/TIS) Dual Wafer Stage Synch. Algorithms Isolation Systems (frames) Multi-SLM Array Dose & Uniformity Control Calbiration Optics SLM Calibration Wafer Handling System Wafer Material Scheduler Nitrogen Purge Pattern Processor Illumination Optics PO Control Alg. Wafer Alignment Field Pattern Scheduler Environmental Control SLM Electronics / Data Path Illumination Control Alg. Wafer Leveling Exposure Manager Utility Interface Field Pattern Control Alg. Human & Fab Interfaces Tool Enclosure Legend (Changes Relative to existing Scanners) New / Unique Systems Significant Redesign Required No / Minor Changes slide 6

7 Wafer Fab Philosophy Regular scanners Verified high volume designs Few reticles most wafers High volume wafers Most designs few wafers Low volume and design prototype wafers New and low volume designs OML slide 7

8 Why Optical Maskless Lithography? It will be Fab Transparent The tool will look and behave like a Step and Scan system Product can start on OML & be ported to the conventional scanner Follows the mask-based lithography roadmap for λ and NA slide 8

9 Follows the mask-based lithography roadmap for λ and NA Advances in fab processes are directly leveraged with OML Baseline architecture allows for multiple technology options 65-nm node 193 > 0.9 NA 45-nm node 193i > 1 NA 157 > 0.9 NA Or whatever your favorite roadmap might be after this forum 32-nm node 157i > 1 NA EUV > 0.2 NA slide 9

10 Why Optical Maskless Lithography? It will be Fab Transparent The tool will look and behave like a Step and Scan system Product can start on OML & be ported to the conventional scanner Follows the mask-based lithography roadmap for λ and NA Leverages existing optical knowledge k 1 reduction (OPC, illumination, PSM...) can be applied to OML slide 10

11 Pixel Modeling Example of Optical Proximity Correction w/ Gray Scaling No Optimization Mirror Tilt [mrad] Example shows lines on mirror grid. Off-grid lines achieved with different tilt angles Optimized Mirror Tilts slide 11

12 Why Optical Maskless Lithography? It will be Fab Transparent The tool will look and behave like a Step and Scan system Product can start on OML & be ported to the conventional scanner Follows the mask-based lithography roadmap for λ and NA Leverages existing optical knowledge k 1 reduction (OPC, illumination, PSM...) can be applied to OML No additional infrastructure to create Resists, vacuum handling, charge reduction, alignment No fundamental limitations on throughput Photons don t interact (at this level anyway) slide 12

13 What is the problem that needs solved? Mask set costs - extending the current trend to 22nm Impossible, the IC industry is going to break 100 Mask set ($M) 10 1 $6.9M-$11.5M = = $3.9M - $7.5M = $1.9M - $4.5M = $9M - $14.4M Technology Nodes (nm) KDC* ISMT * Based on the author s view of the world slide 13

14 Risk of Design Introduction Keeps Increasing Std Cell ASIC Design and Delivery Cycle 130 nm Design Start Design is $5-10M Reticle Manufacturing ($ k) Design to mfg Initial Prototype does not Meet Specifications >18 months 3 Backend Reticle Re-Spin (~$250k) Re-optimize Design Purchase New Reticles Final Prototype available Respin reasons Currently design release relies on simulation 35 Simulation is increasingly complex with new technology 30 nodes 25 Design defects can occur at sort resulting in costly 20 re-spins Need to offer cost effective silicon verification Several design iterations running concurrently Percent Functional logic Minimal cycle time impact with no additional reticle cost. Signal integrity Reliability Power use To fast Firmware error Aart de Geus, SNUG slide 14

15 Mask usage is becoming increasingly inefficient The majority of masks are used on a small number of wafers ASIC-like fab data Saving $ here will allow more products and risk OML Sematech Data slide 15

16 Example: 50% of mask sets print < 1% of the wafers Data scaled to mm This is why even a slow tool can make good economic sense Cumulated number of mask sets 1% 100% 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 0% 10% 20% 30% 40% 50% 60% 70% 80% 90% 100% Cumulated number of wafers slide 16

17 Why does OML save so much money? Maskless Practically Eliminates the Fixed Cost of a Mask Cost per Run Maskless more economical Maskless Scanner Mask Scanner Fixed cost of masks Small Data Prep Cost Breakeven #Wafers Mask more economical # Wafers slide 17

18 Run cost ($M) Example: Maskless economic model - Run costs layer/mask 4 layer/mask Maskless Tech node (nm) layer/mask = standard lithography 4 layers/mask = similar levels on mask Mask prices are projected to increase with technology node 0.18 µm = $12k 0.13 µm = $32k 0.09 µm = $95k µm = $285k Maskless scanner Cost ~ $25M, 5 WPH & 50% utilization Device / Wafer Data 300mm wafers, Die = 8.5 mm, 20 wafers/design, 70 OML/year & 30% are re-spun Model includes depreciation, cost of capital, return on capital, taxes & clean room Start with 10 OML layers at 0.18 µm 16 OML layers at µm. slide 18

19 Why should you consider Optical Maskless Lithography? Conclusions For 65 nm the OML breakeven point is > 1700 wafers/reticle That = 6% of the wafers in a foundry-like fab A maskless scanner could save $1M / design for 90 nm technology $4.5M / design for 65 nm technology OML allows the flexibility and ability to decrease the time from design to product. OML will be Fab Transparent OML will follow the mask-based lithography roadmap for λ and NA OML does not require additional infrastructure OML does not have the limitations on throughput from charged particle interactions in the lithography system slide 19

20 Outline Why should you consider Optical Maskless Lithography (OML)? What are we planning to build? Lens Spatial Light Modulator (SLM) How does it work? Gray Scaling Throughput and the data path How will OML perform? Summary slide 20

21 OML Platform Based on TWINSCAN TWINSCAN XT MASKLESS Plus... slide 21

22 Enabling Technology: Spatial Light Modular Micronic SLM chip 8 mm x 33mm active area (512 x 2048 pixels) MPixels each mirror 16 µm x 16 µm Lithography pattern generation is controlled by reflecting laser light from individual pixels towards or away from the wafer slide 22

23 Equals an Optical Maskless Lithography tool > 2.5 years ago ASML & Micronic started an OML study Wavelength = 193 nm Illumination = Conventional, Annular, Dipole, Quasar, Quad... Throughput five 300-mm wph (or ten 200-mm wph) Technology node 65 nm Includes track interface, on-board metrology and factory interface We are ready for commitment from potential customers now Subject to this commitment we will develop the tool Available in 3 years (after start) Price ~ same as conventional scanners New Proj. Opt New Illum Opt TWINSCAN XT MASKLESS slide 23

24 Optical Column Design (Preliminary) Catadioptric Projection Lens w/ Dual Diffractive Array Illuminator Multi-SLM Array Optimal Configuration Wide Spectral Bandwidth Extensible Least Complex Least # of Elements Lowest Cost of Goods Illumination System Wafer Plane Laser & Beam Delivery System omitted from illustration.

25 The micromirror Spatial Light Modulator (SLM) chip Mirror array 16 µm Torsional 16 x 16 micron mirrors Actuated by electrostatic force Matrix-addressed CMOS chip similar to a TFT screen below the mirrors M Matrix addressing of analog array A1 A2 A3 A4 Lithography pattern generation is controlled by reflecting laser light from individual pixels towards or away from the wafer C T µm E G2 G3 G1 slide 25

26 SLM Details slide 26

27 Imaging with a Optical Maskless Lithography system Use Mirror Tilt Phase Effects Mirror - Phase change 5ϕ 4ϕ 3ϕ 2ϕ 1ϕ 1ϕ 2ϕ 3ϕ 4ϕ 5ϕ + Phase change Intensity I e iφ dφ slide 27

28 Imaging with a Optical Maskless Lithography system Use Mirror Tilt Phase Effects Mirror with tilt Im Re Amplitude: Intensity: 4.47% So negative amplitude can be created on the wafer giving additional optimization parameter slide 28

29 How do SLMs image? Diffraction is used to create contrast When the SLM surface is flat all light is reflected specularly A very small surface perturbation causes the light to be diffracted and the specular reflection is attenuated or extinguished Variable attenuation by variable non-flatness enables analog addressing for Gray Scale slide 29

30 OML imaging - the need for Gray Scaling If 2 N (64) steps are needed for edge placement: Binary mirrors need 2 N (64) bit Gray scale mirrors need N (6) bit There is a large reduction of the data flow to the SLM and the number of mirrors However there is some reduction of line edge fidelity edge placement Tilt angle slide 30

31 Gray Scale vs. Tilting mirror aerial image comparison. Tilting mirrors Grayscale pixels slide 31

32 How does OML reproduce OPC & RET? Masks have sub resolution patterns SLM grid Sub-grid address Sub resolution patterns Scatterbars Serifs slide 32

33 OML uses Gray Scaling SLM SLM grid Sub-grid address Gray-scale patterns Scatterbar Serifs slide 33

34 Contact hole with corner serifs Sigma results slide 34

35 SLM requirements - Throughput What pixel size will be needed for the 65 nm node? At wafer ~ 30 nm SLM mirror size will be 8 µm so magnification = ~266x Or 1mm field on wafer = 266 mm field in SLM plane SLM will have ~ 10 MPixel / SLM Multiple SLMs are needed and must fit into reasonable sized lens slide 35

36 Throughput -- How s it done? Multiple SLM Array Scan direction Use Multiple SLMs in parallel Simulated Step and Flash Short laser pulse duration (< 10 ns) synchronized with continuous scan speed Effectively, the wafer steps by the active area width of one SLM per laser pulse Mult-pass averaging Each pixel on the wafer sees multiple shots from multiple SLMs Leading & trailing SLMs could print in one pass Some SLMs are always leading (i.e. first pulse), and others are always trailing (i.e. subsequent pulses) As we reverse direction, the SLMs reverse their leading or trailing state slide 36

37 CONFIDENTIAL

38 Optical Maskless Lithography exposure Multi-SLM Scanning Laser Pulse: Steady-State slide 38

39 Data-Path: Data Rate Impact With: 300mm Wafer size 5 WPH 30nm Pixel size Dual pass 8 bits/pixel You have: Gbyte/s 70 mph Printing 360,000 pages with text and images per second or filling bookshelves with SPIE Proceedings at 70 miles per hour! slide 39

40 Preliminary Data Flow Requirements Pattern is pre-processed and fractured off-line OPC and system-constants applied Image fractured into pieces corresponding to SLM layout Rasterization and adjustment Done in near real-time Application of SLM calibration Filtering for off-grid effects SLM Interface Between 200 to 400 GBytes / sec peak data transfer rates (function of pixel size at wafer and dose control strategy) Massive real-time parallel computing architecture High-speed gigabit ethernet, RapidIO bus architecture, and multiple FPGAs for real-time control of SLM pixels slide 40

41 Outline Why should you consider Optical Maskless Lithography (OML)? What are we planning to build? How will OML perform? Imaging simulations Overlay simulations Summary slide 41

42 Pixel Modeling Tilted Mirror Pixel Representation Pixel representation in Prolith for tilted mirrors: 30 or 40 nm 30 or 40 nm Each pixel is divided into 30x1 nm segments To mimic a mirror tilted by angle α, a phase range of Φ=4παL/λ is linearly applied across the pixel with a zero average phase. (L is the mirror width (reticle scale) and λ is the wavelength) Pattern Parameters (L/S, CH, generic.) Rasterization Algorithm (Matlab) Illumination Table -2παL/λ 0 2παL/λ Illumination Paramaters (mode, p.c., etc.) Illumination Table Generator (Matlab & Prolith) Set of "Maskless" Mask Files Aerial Image / Resist Analysis (Prolith) Output Data slide 42

43 Pixel Modeling - A reminder of what we are doing Example of Optical Proximity Correction w/ Gray Scaling No Optimization Mirror Tilt [mrad] Example shows lines on mirror grid. Off-grid lines achieved with different tilt angles Optimized Mirror Tilts slide 43

44 Imaging 70 nm with an example OML system 40 nm pixels First guess Layout Optimized Layout Phase ranges: Phi1=0 deg Phi2=133 deg Phi3=257 deg Phi4=360 deg Phase ranges: Phi1=0 deg Phi2=275 deg Phi3=475 deg Phi4=550 deg slide 44

45 Pixel Modeling Effect of negative amplitude vs. binary mask No Negative Amplitude Binary (chrome) slide 45

46 Pixel Modeling Effect of negative amplitude vs. binary mask Blacker-than-Black provides better performance than binary, and acts more like an Attenuating Phase-Shift Mask (Att-PSM)! Larger Process Latitude! 2.8% negative amplitude Binary (chrome) slide 46

47 60 nm Isolated Line Behavior Thru-Focus vs. Att-PSM 6% Isolated 60 nm lines (420 nm pitch), Double Exposure Average CD through Focus (CD measured through pitch) OML shows equivalent performance to the ideal 6% Att-PSM in CD Improvements in NILS expected with enhancements to the optimization algorithm Average CD (nm) Maskless Defocus (nm) Ideal 6% Att-PSM Isolated 60 nm lines (420 nm pitch), Double Exposure Average NILS through Focus (CD measured through pitch) 1.5 Case Description 60 nm lines, 40 nm bias, 420 nm pitch 30 nm pixels phase-tilt mirrors Two-pass, 0.6/0.9 annular Average NILS NA, 193 nm wavelength Vector model, unpolarized light Defocus (nm) Model outputs are: 1D aerial images thru-focus Maskless Ideal 6% Att-PSM slide 47

48 60 nm 1:1 Dense Lines Behavior Thru-Focus vs. Att-PSM 6% Optical Maskless matches the Ideal 6% Att-PSM in CD Improvements in NILS & Contrast for Optical Maskless expected with enhancements to the optimization. Average CD (nm) Dense 60 nm lines (1:1), Double Exposure Average CD through Focus (CD measured through pitch) Maskless Defocus (nm) Ideal 6% Att-PSM Dense 60 nm lines (1:1), Double Exposure Average NILS through Focus (CD measured through pitch) Dense 60 nm lines (1:1), Double Exposure Average Contrast through Focus (CD measured through pitch) Average NILS Average Contrast Defocus (nm) Defocus (nm) Maskless Ideal 6% Att-PSM Maskless Ideal 6% Att-PSM slide 48

49 Pixel Modeling Rasterization Optimization Optimized rasterization can drastically improve behavior of CD, placement, and NILS through grid NILS can be optimized BETTER than the ideal Att-PSM case Task is to make this optimization real-time capable Placement 70 nm iso line placement error, (nm) Placement error vs shift. Const image intensity threshold Current algorithm Newly optimized --- Current Micronic Algorithm optimization with 4 active pixels --- Optimization w/ 4 active pixels NILS NILS NILS vs shift Current algorithm Newly optimized Ideal 6% Att:PSM Micronic optimization with 4 active pixels 6% AttPSM mask with no bias shift, nm Feature shift wrt grid (nm) shift, nm Feature shift wrt grid (nm) slide 49

50 80 nm Half-Pitch Contact Holes Shift = 0 nm 160 nm pitch contacts (80 nm half-pitch) 30 nm pixels phase-tilt mirrors Two- pass Diagonal Quasar (0.97/0.8, 15 o blade angle) 0.93 NA, 193 nm Vector model, unpolarized light, current rasterization Model outputs: 2D aerial images thru-focus slide 50

51 80 nm Half-Pitch Contact Holes Shift = 5 nm 160 nm pitch contacts (80 nm half-pitch) 30 nm pixels phase-tilt mirrors Two- pass Diagonal Quasar (0.97/0.8, 15 o blade angle) 0.93 NA, 193 nm Vector model, unpolarized light, current rasterization Model outputs: 2D aerial images thru-focus slide 51

52 80 nm Half-Pitch Contact Holes Shift = 10 nm 160 nm pitch contacts (80 nm half-pitch) 30 nm pixels phase-tilt mirrors Two- pass Diagonal Quasar (0.97/0.8, 15 o blade angle) 0.93 NA, 193 nm Vector model, unpolarized light, current rasterization Model outputs: 2D aerial images thru-focus slide 52

53 80 nm Half-Pitch Contact Holes Shift = 15 nm 160 nm pitch contacts (80 nm half-pitch) 30 nm pixels phase-tilt mirrors Two- pass Diagonal Quasar (0.97/0.8, 15 o blade angle) 0.93 NA, 193 nm Vector model, unpolarized light, current rasterization Model outputs: 2D aerial images thru-focus slide 53

54 80 nm Half-Pitch Contact Holes Shift = 20 nm 160 nm pitch contacts (80 nm half-pitch) 30 nm pixels phase-tilt mirrors Two- pass Diagonal Quasar (0.97/0.8, 15 o blade angle) 0.93 NA, 193 nm Vector model, unpolarized light, current rasterization Model outputs: 2D aerial images thru-focus slide 54

55 80 nm Half-Pitch Contact Holes Behavior Thru-Focus vs. Att-PSM 6% Ideal 6% Att-PSM shows slightly better performance in CD Improvements in CD and NILS expected with enhancements to the optimization algorithm Average CD Dense 80 nm contact holes (1:1), Double Exposure Average CD through Focus (CD measured through pitch) Defocus (nm) Maskless Ideal 6% Att-PSM Dense 80 nm contact holes (1:1), Double Exposure Average NILS through Focus (CD measured through pitch) Dense 80 nm contact holes (1:1), Double Exposure Average Contrast through Focus (CD measured through pitch) Average NILS Average Contrast Defocus (nm) Defocus (nm) Maskless Ideal 6% Att-PSM Maskless Ideal 6% Att-PSM slide 55

56 Stitching - An example An isolated horizontal dark line on a bright background The line is ~ 2000 nm long ~ 9 λ / NA. Coherent illumination, scalar model 0.85 NA, λ = 193 nm, pixel size = 40nm The line is formed by two adjacent rows of mirrors No stitching & no effect on the line shape Considerable effect on the line shape slide 56

57 Stitching: Butting No Compensation Exposure 2 Exposure line width vs X no stitching scenario 1 (simple stitching) line width, nm X, nm Exposure 1 D scenario1 = D1 + D2 + D3 Line width corresponds to 70 nm line width image intensity threshold D1 = D2 = D3 = slide 57

58 Stitching: 400nm Overlap Linear Attenuation in the Object Plane Exposure 2 Exposure line width vs X no stitching scenario 2 (400nm overlap with passive linear attenuation in object plane) line width, nm Exposure 1 D scenario2 = D1 + D2 + D X, nm D1 = D2 = D3 = slide 58

59 Outline Why should you consider Optical Maskless Lithography (OML)? What are we planning to build? How will OML perform? Summary slide 59

60 Conclusions Optical Maskless Lithography OML is the most economic low-volume production solution and significantly reduces Mask cost Time-to market Risk of design introduction OML follows the mask-based lithography roadmap for Wavelength reduction (193 -> 157 -> 13 nm) k 1 reduction (OPC, off-axis illumination, phase shifting) NA increase (> 1 with immersion) OML complements existing optical lithography equipment OML leverages existing optical knowledge and infrastructure at the customer and within ASML OML does not have the charged particle throughput limitations slide 60

61 OML Summary Using ASML s TWINSCAN platform, optics expertise and Micronic s pattern generation knowledge, we are ready for 193 nm 0.9 NA system in 2007 Resolution 65 nm node with 5 wph (300 mm) The system will be immersion enabled slide 61

62 End slide 62

Optical Maskless Lithography (OML) Project Status

Optical Maskless Lithography (OML) Project Status Optical Maskless Lithography (OML) Project Status Timothy O Neil, Arno Bleeker, Kars Troost SEMATECH ML 2 Conference January 2005 / Slide 1 Agenda Introduction and Principles of Operation DARPA Program

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Mirror-based pattern generation for maskless lithography

Mirror-based pattern generation for maskless lithography Microelectronic Engineering 73 74 (2004) 42 47 www.elsevier.com/locate/mee Mirror-based pattern generation for maskless lithography William G. Oldham *, Yashesh Shroff EECS Department, University of California,

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-1: LER and CAR AIT-2: Resolution Enhancement

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars Bruce W. Smith Rochester Institute of Technology, Microelectronic Engineering Department, 82

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright 22 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 4691, pp. 98-16. It is made available as an

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department Status and Challenges for Multibeam DW lithography L. PAIN CEA - LETI Silicon Technology Department Outline Introduction Challenges Current program status KLA-TENCOR MAPPER Demonstration capability IMAGINE

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite Michael C. Smayling* a, Koichiro Tsujita b, Hidetami Yaegashi c, Valery Axelrad d Tadashi Arai b, Kenichi Oyama c, Arisa Hara c a Tela Innovations,

More information

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Benefit of ArF immersion lithography in 55 nm logic device manufacturing Benefit of ArF immersion lithography in 55 nm logic device manufacturing Takayuki Uchiyama* a, Takao Tamura a, Kazuyuki Yoshimochi a, Paul Graupner b, Hans Bakker c, Eelco van Setten c, Kenji Morisaki

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s Gerhard Schlueter a, Walter Steinberg a, John Whittey b a Leica Microsystems Wetzlar GmbH Ernst-Leitz-Str. 17-37, D-35578

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

Scope and Limit of Lithography to the End of Moore s Law

Scope and Limit of Lithography to the End of Moore s Law Scope and Limit of Lithography to the End of Moore s Law Burn J. Lin tsmc, Inc. 1 What dictate the end of Moore s Law Economy Device limits Lithography limits 2 Litho Requirement of Critical Layers Logic

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY Christian Wagner a, Winfried Kaiser a, Jan Mulkens b, Donis G. Flagello c a Carl Zeiss, D-73446 Oberkochen, Germany; b ASM Lithography, De Run 1110,

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Immersion Lithography: New Opportunities for Semiconductor Manufacturing Immersion Lithography: New Opportunities for Semiconductor Manufacturing Tim Brunner, Dario Gil, Carlos Fonseca and Nakgeuon Seong IBM - SRDC Bob Streefkerk, Christian Wagner and Marco Stavenga ASML Outline

More information

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2006 UPDATE LITHOGRAPHY THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

IIL Imaging Model, Grating-Based Analysis and Optimization

IIL Imaging Model, Grating-Based Analysis and Optimization UNM MURI REVIEW 2002 IIL Imaging Model, Grating-Based Analysis and Optimization Balu Santhanam Dept. of EECE, University of New Mexico Email: bsanthan@eece.unm.edu Overview of Activities Optimization for

More information

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack Lithography Simulation Tools Needed for 22nm HP and Beyond Chris Mack www.lithoguru.com Slicing the Pie Simulation Tool Characteristics Precision Accuracy Capabilities (speed, features) Simulation Tool

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

PICO MASTER 200. UV direct laser writer for maskless lithography

PICO MASTER 200. UV direct laser writer for maskless lithography PICO MASTER 200 UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 WWW.4PICO.NL 1. Introduction The PicoMaster

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Mask magnification at the 45-nm node and beyond

Mask magnification at the 45-nm node and beyond Mask magnification at the 45-nm node and beyond Summary report from the Mask Magnification Working Group Scott Hector*, Mask Strategy Program Manager, ISMT Mask Magnification Working Group January 29,

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

EUV Light Source The Path to HVM Scalability in Practice

EUV Light Source The Path to HVM Scalability in Practice EUV Light Source The Path to HVM Scalability in Practice Harald Verbraak et al. (all people at XTREME) 2011 International Workshop on EUV and Soft X-ray Sources Nov. 2011 Today s Talk o LDP Technology

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability Yuichi Inazuki 1*, Nobuhito Toyama, Takaharu Nagai 1, Takanori Sutou 1, Yasutaka Morikawa 1, Hiroshi

More information

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013 Forward looking statements Slide 2 Safe Harbor Statement

More information

Computational Lithography

Computational Lithography Computational Lithography An EDA Perspective Frank Schellenberg, Ph.D. Mentor Graphics 22nm SEMATECH Workshop 5/15/2008 22nm Optical Lithography 22nm with λ = 193nm Wow! Several processing options Double

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing Applications for Mask-less E-Beam Lithography between R&D and Manufacturing May 24, 2006 Lithography Forum Johannes Kretz Table of Contents E-Beam Lithography at Qimonda in Dresden Project Environment

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

Optimizing FinFET Structures with Design-based Metrology

Optimizing FinFET Structures with Design-based Metrology Lithography M e t r o l o g y Optimizing FinFET Structures with Design-based Metrology Tom Vandeweyer, Christie Delvaux, Johan De Backer, and Monique Ercken, IMEC Gian Lorusso, Radhika Jandhyala, Amir

More information

PICO MASTER. UV direct laser writer for maskless lithography

PICO MASTER. UV direct laser writer for maskless lithography 4PICO B.V. Jan Tinbergenstraat 4b 5491 DC Sint-Oedenrode The Netherlands Tel: +31 413 490708 PICO MASTER UV direct laser writer for maskless lithography Introduction The PicoMaster is a versatile UV laser

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG SEMATECH Workshop on Maskless Lithography San Francisco, CA Dec 14 2008 PML2 Projection Mask-Less Lithography The mask-less electron multi-beam solution for the 22nm node and beyond AG Projection Mask-Less

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Lithography Andrew Neureuther and Costas Spanos, UCB Workshop & Review 04/15/2004 11/19/2003 - Lithography 3 Lithography: Andy Neureuther, UCB Research Themes: Linking

More information