Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Size: px
Start display at page:

Download "Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference"

Transcription

1 Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013

2 Forward looking statements Slide 2 Safe Harbor Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements, including statements made about our outlook, including expected research and development expenditures, expected shipments of tools and productivity of our tools, our business model, and lithography systems development, including the development of EUV and immersion technology and related system capacity. These forward looking statements are subject to risks and uncertainties including, but not limited to: economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers products, competitive products and pricing, the impact of manufacturing efficiencies and capacity constraints, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, available cash, distributable reserves for dividend payments and share repurchases, risks associated with our co-investment program, including whether the 450mm and EUV research and development programs will be successful and ASML s ability to hire additional workers as part of the 450mm and EUV development programs, our ability to successfully complete acquisitions, including the Cymer transaction or the expected benefits of the Cymer transaction. The foregoing risk list of factors is not exhaustive. You should consider carefully the foregoing factors and the other risks and uncertainties that affect the business of ASML described in the risk factors included in ASML's Annual Report on Form 20-F and other documents filed by ASML from time to time with the SEC. ASML disclaims any obligation to update the forward-looking statements contained herein.

3 Slide 3 Business Environment

4 Business environment We currently plan for 2013 revenues to be at a similar level to 2012 driven by 28 and 20 nm logic Slow Q1 start Recovering in Q2 and a relatively large second half Expect continued minimum investment level from the memory sector, generating an upside revenue opportunity if the PC business picks up 2013 supported by two drivers that are less dependent on macroeconomic circumstances: Completion of 28nm capacity installations and Strategic technology transition to extremely lithography intensive 20nm and below logic nodes First shipments of 3 rd generation EUV tools in preparation for volume manufacturing of future generation semiconductors Slide 4 17 January 2013

5 Net Sales 6 year Sales Review - total net sales M 2013 expected at similar level to 2012 Slide 5 17 January , ,508 1,211 4, , , ,596 1,521 1,176 1,459 1,529 1,023 1,229 1,228 Q1 Q2 Q3 Q , ,452 1, Numbers have been rounded for readers convenience Estimate

6 Slide 6 ASML Business Strategy

7 Business Model Focus on right products on time Our business model is derived from our lithography Value of Ownership concept which is based, amongst others, upon the following principles: Slide 7 Maintaining an appropriate level of R&D to offer the most advanced technologies possible in order to provide the lowest cost for high volume production at the earliest possible date enhancing/following Moore s Law Offering ongoing improvements in imaging, overlay and productivity Providing high quality customer support, enhancing installed base capabilities, improving system reliability and uptimes Reducing cycle times between customer order and equipment use in production Expanding operational flexibility in R&D and manufacturing

8 450mm EUV Immersion Affordable shrink roadmap Slide 8 NXT:1950i, NXT:1960Bi, NXT:1970Ci NXE:3300B, NXE:3350, QXT, QXE

9 Significant R&D required to support lithography tool development the shrink engine R&D: Slide 9 17 October 2012 R&D: ~50 mln R&D: ~400 mln R&D: ~1.5 bln R&D: ~2 bln? bln 1980 s: PAS 2000/5000 Resolution: <500 nm Overlay >100nm 1990s: PAS 5500 steppers/scanners Resolution: 400 to 90 nm overlay: > 12 nm 2000s: Twinscan Resolution: >1X nm overlay: > 2 nm 2010s: NXE EUV systems Resolution: <15 nm overlay: <2 nm 2015s: 450 mm systems Resolution: KrF, Immersion, EUV overlay: <2 nm

10 Focus on dual product strategy to match customer roadmaps Slide 10 TWINSCAN NXT - Immersion Continuous improvement in throughput, overlay and imaging (CDU) optimized for multi-pass patterning at 20nm and below Introduce 450mm capability TWINSCAN NXE - EUV Preparing EUV platform for volume manufacturing of critical layers with imaging to 10nm and beyond Introduce 450mm capability Over 420 ASML immersion systems in use today 6 ASML EUV system in use today

11 ASML Immersion Product Roadmap NXT:1950i provides performance extendibility until EUV adoption Slide 11 TWINSCAN NXT Extendibility Upgradeability 2011 Extensions 2012 Extensions Matched Machine Overlay 5.5nm 4.5nm 3.5nm On Product Overlay 9nm 6nm 4nm * CDU 3nm 1.5nm 1nm Total focus control budget 110nm 90nm 70nm Throughput (96 shots) 190 WPH 230 WPH 250 WPH Defects (ASML test) 10 defects/wafer 10 defects/wafer <7 defects/wafer * OPO 5nm Matched, 4nm Dedicated Chuck

12 ASML s Holistic Lithography Solutions support multi-pass patterning at 20nm and beyond, will support EUV in future Slide 12 ASML Scanner Wafer Track ASML Yieldstar Process window enlargement Yieldstar metrology systems and Litho InSight software roadmap supports full integration to deliver improved On- Product Performance for 20 nm node and below Process window control application platform ( one per fab )

13 ASML Customer Co-Investment Program allows increased R&D investments for key programs Slide 13 ASML intends to spend million in R&D in 2013 Co-Investment participants are expected to contribute 1.4 Billion in cash for R&D in Co-Investment will contribute to: Acceleration of EUV development of machines and sources including next generation EUV systems Development of 450 mm litho tools targeting pre-production systems in 2015/16 and production systems in 2018 (300mm and 450mm compatible)

14 EUV progress encouraging Slide 14 Imaging on the production capable NXE:3300B, shows excellent single imaging results down to 13 nm Demonstrated fully integrated EUV source showing extended, stable exposure power up to 40 Watts, good dose control, full field exposures 55 Watts shown in short runs with good key parameter control Source design tested successfully at 60 Watts with good debris control 11 system ship plan for 2013

15 Eleven NXE:3300B systems in various states of integration in new clean room completed in 2012 Slide 15 System 1 Development tool System 9 System 2 New cleanroom System 6 System 3 System 4 System 7 System 5 System 8 Training 10 Tool

16 NXE technology roadmap has great extendibility first illumination optimization on NA 0.33 system Under study Slide 16 Resolution [nm] <7 Wavelength [nm] Lens NA layo ut NA DPT DPT # mirrors or 8 flare 8% 6% 4% 13.5 Illumination coherence s=0.5 s=0.8 s= Flex-OAI Extended Flex-OAI reduced pupil fill ratio Overlay DCO [nm] MMO [nm] Dose [mj/cm 2 ] TPT (300mm) Throughphut [w/hr] 6-60 Power [W]

17 NXE:3300B imaging and overlay beyond expectations Slide 17 Scanner qualification Filtered S2F Chuck 1 (S2F) Dedicated Chuck Overlay [nm] 8 22nm HP BE = 15.9 mj/cm2 DoF = 160 nm X Y Lot (1.3,1.3) % x: 1.3 nm y: 1.3 nm 5 nm 0 Full wafer CDU = 1.5nm Day 13 nm HP 18 nm HP 23 nm HP Matched Machine Overlay NXE- immersion [nm] Filtered S2F (S2F) Scanner capability 8 X Y Lot (3.4,3.0) nm Wafer XT:1950i reference wafers ) 99.7% x: 3.4 nm y: 3.0 nm

18 Resolution shown on NXE:3300B for dense line spaces, regular and staggered contact holes; all single exposures Slide 18 14nm HP 14nm HP 18nm HP 19nm HP 13nm HP 13nm HP 17nm HP 18nm HP Dipole30, Chemically Amplified Resist (CAR) Dipole45, Inpria Resist Quasar 30 (CAR) Large Annular (CAR)

19 EUV Source Power Progress incl. throughput estimates for NXE:3300B EUV system Slide power EUV (W) Power from the source[w] 250 In die dose variation 100 TPT Estimated NXE3350 productivity NXE:3300B mj/cm² At 40 W: Simulated die yield 99.99% at 0.2% dose, over 6 consecutive runs of > 1 hr representing > 300 exposed 15 mj/cm 2

20 ASML - Cymer merger agreement status In Q4 2012, we announced the intended cash-and-stock acquisition of lithographic light source supplier Cymer As part of the regulatory review process, clearance has been granted by the Committee on Foreign Investment in the United States (CFIUS), the German and Israeli anti-trust authorities Merger agreement approved by Cymer s shareholders February 2013 Awaiting regulatory approvals from US, Japan, Taiwan and Korea We continue to expect the transaction to close in H Slide January 2013

21

EUV Supporting Moore s Law

EUV Supporting Moore s Law EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014 Forward looking statements This document contains statements relating to certain

More information

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014 DUV Matthew McLaren Vice President Program Management, DUV 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Status and challenges of EUV Lithography

Status and challenges of EUV Lithography Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013 Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

EUV lithography: status, future requirements and challenges

EUV lithography: status, future requirements and challenges EUV lithography: status, future requirements and challenges EUVL Dublin Vadim Banine with the help of Rudy Peters, David Brandt, Igor Fomenkov, Maarten van Kampen, Andrei Yakunin, Vladimir Ivanov and many

More information

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm SEMICON West, San Francisco July 14-18, 2008 Slide 1 The immersion pool becomes an ocean

More information

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014 Holistic Lithography Christophe Fouquet Executive Vice President, Applications 24 Holistic Lithography Introduction Customer Problem: Beyond 20nm node scanner and non scanner contributions must be addressed

More information

Competitive in Mainstream Products

Competitive in Mainstream Products Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005 ASML Competitive in mainstream products Introduction Market share Device layers critical

More information

EUV lithography: today and tomorrow

EUV lithography: today and tomorrow EUV lithography: today and tomorrow Vadim Banine, Stuart Young, Roel Moors Dublin, October 2012 Resolution/half pitch, "Shrink" [nm] EUV DPT ArFi ArF KrF Industry roadmap towards < 10 nm resolution Lithography

More information

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Holistic View of Lithography for Double Patterning. Skip Miller ASML Holistic View of Lithography for Double Patterning Skip Miller ASML Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2 Shrink Continues Lithography keeps adding value

More information

Imaging for the next decade

Imaging for the next decade Imaging for the next decade Martin van den Brink Executive Vice President Products & Technology IMEC Technology Forum 2009 3 June, 2009 Slide 1 Congratulations! ASML and years of making chips better Slide

More information

Enabling Semiconductor Innovation and Growth

Enabling Semiconductor Innovation and Growth Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14,

More information

Leadership Through Innovation Litho for the future

Leadership Through Innovation Litho for the future Leadership Through Innovation Litho for the future Deutsche Bank Access Asia Conference 2010 Singapore Craig De Young VP Investor Relations and Corporate Communications May 12, 2010 Public Safe Harbor

More information

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010 Jos Benschop Public Agenda Roadmap Status Challenges Summary & conclusion Slide 2 Public Resolution (half pitch) "Shrink" [nm]

More information

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1 Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, 2003 / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Metrology in the context of holistic Lithography

Metrology in the context of holistic Lithography Metrology in the context of holistic Lithography Jeroen Ottens Product System Engineer YieldStar, ASML Lithography is at the heart of chip manufacturing Slide 2 25.April.2017 Repeat 30 to 40 times to build

More information

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Spring of EUVL: SPIE 2012 AL EUVL Conference Review Spring of EUVL: SPIE 2012 AL EUVL Conference Review Vivek Bakshi, EUV Litho, Inc., Austin, Texas Monday, February 20, 2012 The SPIE Advanced Lithography EUVL Conference is usually held close to spring,

More information

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 ASML Market dynamics Dave Chavoustie EVP Sales Analyst Day, September 30, 2004 Agenda! Market Overview! Growth Opportunities! 300mm Market! Asia Overview / Slide 2 ASML Unit Market Share Trend 60% 12 &

More information

NXE: 3300B qualified to support customer product development

NXE: 3300B qualified to support customer product development ASML s customer magazine 2013 Issue 2 Extending the TWINSCAN NXT platform Computational lithography enables device scaling NXE: 3300B qualified to support customer product development 4 8 10 4 NXE:3300B

More information

Nikon Medium Term Management Plan

Nikon Medium Term Management Plan NIKON CORPORATION Mar.30,2006 Nikon Medium Term Management Plan March 30, 2006 NIKON CORPORATION This presentation contains forward-looking statements with respect to future results, performance and achievements

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

Facing Moore s Law with Model-Driven R&D

Facing Moore s Law with Model-Driven R&D Facing Moore s Law with Model-Driven R&D Markus Matthes Executive Vice President Development and Engineering, ASML Eindhoven, June 11 th, 2015 Slide 2 Contents Introducing ASML Lithography, the driving

More information

Credit Suisse European Technology Conference 2008

Credit Suisse European Technology Conference 2008 Credit Suisse European Technoogy Conference 2008 ASML continues to execute its eadership strategy and expects gradua order pick-up Franki D Hoore Director European Investor Reations London, 15 May 2008

More information

CLSA Investors Forum 2017

CLSA Investors Forum 2017 CLSA Investors Forum 2017 Grand Hyatt Hong Kong Craig De Young Vice President Investor Relations September 11-15 2017 Forward looking statements Slide 2 This document contains statements relating to certain

More information

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning Ivan Lalovic, Rajasekhar Rao, Slava Rokitski, John Melchior, Rui Jiang,

More information

MAPPER: High throughput Maskless Lithography

MAPPER: High throughput Maskless Lithography MAPPER: High throughput Maskless Lithography Marco Wieland CEA- Leti Alterative Lithography workshop 1 Today s agenda Introduction Applications Qualification of on-tool metrology by in-resist metrology

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Exposure Tools for HVM: It s Under (and About) Control EUVL Exposure Tools for HVM: It s Under (and About) Control Wim van der Zande ASML Director, Research EUV Litho Workshop Amsterdam November 2016 ASML at a EUV Source Workshop Slide 2 The position of EUV

More information

ASML s customer magazine

ASML s customer magazine ASML s customer magazine 211 Winter Edition TWINSCAN NXT extends immersion performance EUV is in customers hands Holistic Litho improves on-product overlay 6 1 24 3 Editor s note 4 ASML in the News 6 More

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

Halliburton and Baker Hughes Creating the leading oilfield services company

Halliburton and Baker Hughes Creating the leading oilfield services company Halliburton and Baker Hughes Creating the leading oilfield services company Halliburton Investor Relations Contacts: Kelly Youngblood, Vice President Scott Danby, Manager 281.871.2688 or investors@halliburton.com

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

It s Time for 300mm Prime

It s Time for 300mm Prime It s Time for 300mm Prime Iddo Hadar Managing Director, 300mm Prime Program Office SEMI Strategic Business Conference Napa Valley, California Tuesday, April 24, 2007 Safe Harbor Statement This presentation

More information

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance Corporate Communications Department NEWS Release Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance $468 million returned to shareholders through share repurchases Completed

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Imec pushes the limits of EUV lithography single exposure for future logic and memory Edition March 2018 Semiconductor technology & processing Imec pushes the limits of EUV lithography single exposure for future logic and memory Imec has made considerable progress towards enabling extreme

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook Textron Reports First Quarter 2016 Income Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook April 20, 2016 06:30 AM Eastern Daylight Time PROVIDENCE, R.I.--(BUSINESS

More information

Advancing Industry Productivity

Advancing Industry Productivity Advancing Industry Productivity Iddo Hadar Joint Productivity Working Group Session Austin, Texas Thursday, October 12, 2006 F O U N D A T I O N E N G I N E E R I N G G R O U P Safe Harbor Statement This

More information

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5% 07/16/2014 PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported

More information

A Closer Look at ASML. September 26-27, 2002

A Closer Look at ASML. September 26-27, 2002 A Coser Look at ASML September 26-27, 2002 TWINSCAN Outine Introduction TWINSCAN roadmap Dua stage technoogy Productivity TWINSCAN dua stage performance Concusion Outine Introduction TWINSCAN roadmap Dua

More information

Confirms 2013 Financial Guidance

Confirms 2013 Financial Guidance Confirms 2013 Financial Guidance PROVIDENCE, R.I.--(BUSINESS WIRE)--Jul. 17, 2013-- Textron Inc. (NYSE: TXT) today reported second quarter 2013 income from continuing operations of $0.40 per share, compared

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research Global 450mm Consortium at CNSE Michael Liehr, General Manager G450C, Vice President for Research - CNSE Overview - G450C Vision - G450C Mission - Org Structure - Scope - Timeline The Road Ahead for Nano-Fabrication

More information

EUV is progressing towards production

EUV is progressing towards production ASML s customer magazine 211 Summer Edition EUV is progressing towards production NXT:195i makes 22-nm processing possible, cost effective Integrated metrology maximizes on-product performance 4 8 2 3

More information

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% Textron Reports Third Quarter Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1% 10/17/ PROVIDENCE, R.I.--(BUSINESS WIRE)-- Textron Inc. (NYSE: TXT) today reported third

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006 Intel Special Edition 2006 ASML s customer magazine Moving immersion into production Intel and ASML System flexibility through enhancement packages 6 10 14 3 Editor s note images Colofon 4 The value of

More information

Advanced Patterning Techniques for 22nm HP and beyond

Advanced Patterning Techniques for 22nm HP and beyond Advanced Patterning Techniques for 22nm HP and beyond An Overview IEEE LEOS (Bay Area) Yashesh A. Shroff Intel Corporation Aug 4 th, 2009 Outline The Challenge Advanced (optical) lithography overview Flavors

More information

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp. 450mm silicon wafers specification challenges Mike Goldstein Intel Corp. Outline Background 450mm transition program 450mm silicon evolution Mechanical grade wafers (spec case study) Developmental (test)

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

In the quarter, Textron returned $344 million to shareholders through share repurchases, compared to $186 million in the first quarter of 2017.

In the quarter, Textron returned $344 million to shareholders through share repurchases, compared to $186 million in the first quarter of 2017. Corporate Communications Department NEWS Release Textron Reports First Quarter 2018 Income from Continuing Operations of $0.72 per Share; Signs Agreement to Sell Tools & Test Business for $810 Million

More information

Lithography Industry Collaborations

Lithography Industry Collaborations Accelerating the next technology revolution Lithography Industry Collaborations SOKUDO Breakfast July 13, 2011 Stefan Wurm SEMATECH Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Acquisition of MST Medical Surgery Technologies Ltd:

Acquisition of MST Medical Surgery Technologies Ltd: Acquisition of MST Medical Surgery Technologies Ltd: Meaningfully Bolsters Senhance Platform Innovation to Further Advance Digital Laparoscopy September 24, 2018 2 FORWARD LOOKING STATEMENTS This presentation

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

The Waferstepper Challenge: Innovation and Reliability despite Complexity

The Waferstepper Challenge: Innovation and Reliability despite Complexity The Waferstepper Challenge: Innovation and Reliability despite Complexity - Hasbergsvei 36 P.O. Box 235, NO-3603 Kongsberg Norway gaudisite@gmail.com Abstract The function of the waferstepper is explained

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

DSA and 193 immersion lithography

DSA and 193 immersion lithography NIKON RESEARCH CORP. OF AMERICA DSA and 193 immersion lithography Steve Renwick Senior Research Scientist, Imaging Sol ns Technology Development Where the industry wants to go 2 Where we are now 193i e-beam

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Second Quarter CY 2012 Results. August 2, 2012

Second Quarter CY 2012 Results. August 2, 2012 Second Quarter CY 2012 Results August 2, 2012 1 Safe Harbor Disclosure Please review our SEC filings on Form 10-K and Form 10-Q The statements contained in this presentation that are not historical facts

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Operational Intelligence to deliver Smart Solutions

Operational Intelligence to deliver Smart Solutions Operational Intelligence to deliver Smart Solutions Presented by John de Koning Shell Global Solutions DEFINITIONS AND CAUTIONARY NOTE Reserves: Our use of the term reserves in this presentation means

More information

Network-1 Technologies, Inc.

Network-1 Technologies, Inc. UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 Form 8-K CURRENT REPORT Pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934 Date of Report (Date of earliest event

More information

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Multiple Patterning for Immersion Extension and EUV Insertion Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group Abstract Multiple Patterning for Immersion Extension and

More information

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling Igor Fomenkov ASML Fellow 2017 Source Workshop, Dublin, Ireland, November 7 th Outline Slide 2 Background

More information

KOHLBERG CAPITAL CORPORATION. May 2007

KOHLBERG CAPITAL CORPORATION. May 2007 KOHLBERG CAPITAL CORPORATION May 2007 Safe Harbor Statement Private Securities Litigation Reform Act of 1995 Forward Looking Information This presentation may include forward-looking statements. These

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven ASML, Brion and Computational Lithography Neal Callan 15 October 2008, Veldhoven Chip makers want shrink to continue (based on the average of multiple customers input) 200 Logic DRAM today NAND Flash Resolution,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Demonstrating Commitment in the New Veldhoven Demo Lab

Demonstrating Commitment in the New Veldhoven Demo Lab 2006 Fall Edition ASML s customer magazine Demonstrating Commitment in the New Veldhoven Demo Lab TWINSCAN XT:1900Gi Immersion into production 8 12 18 3 Editor s note images Colofon 4 ASML in the news

More information

Optical Maskless Lithography - OML

Optical Maskless Lithography - OML Optical Maskless Lithography - OML Kevin Cummings 1, Arno Bleeker 1, Jorge Freyer 2, Jason Hintersteiner 1, Karel van der Mast 1, Tor Sandstrom 2 and Kars Troost 1 2 1 slide 1 Outline Why should you consider

More information

Network-1 Technologies, Inc.

Network-1 Technologies, Inc. UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 Form 8-K CURRENT REPORT Pursuant to Section 13 or 15(d) of the Securities Exchange Act of 1934 Date of Report (Date of earliest event

More information

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors Mark Bohr Intel Senior Fellow Logic Technology Development Kaizad Mistry 45 nm Program Manager Logic Technology Development

More information

Limitations and Challenges to Meet Moore's Law

Limitations and Challenges to Meet Moore's Law Limitations and Challenges to Meet Moore's Law Sept 10, 2015 Sung Kim sung_kim@amat.com State of the art: cleanroom toolsets metrology analysis module development test & reliability Introduction Why do

More information

Optical Maskless Lithography (OML) Project Status

Optical Maskless Lithography (OML) Project Status Optical Maskless Lithography (OML) Project Status Timothy O Neil, Arno Bleeker, Kars Troost SEMATECH ML 2 Conference January 2005 / Slide 1 Agenda Introduction and Principles of Operation DARPA Program

More information

First Quarter 2014 Results

First Quarter 2014 Results First Quarter 04 Results May 6, 04 Safe Harbor Disclosure Please review our SEC filings on Form 0-K and Form 0-Q The statements contained in this presentation that are not historical facts are forward-looking

More information

Silicon Wafer Demand Forecast Update, 4Q03

Silicon Wafer Demand Forecast Update, 4Q03 Forecast Analysis Silicon Wafer Demand Forecast Update, 4Q03 Abstract: Silicon wafer demand in 2003 will register an 8 percent increase over 2002. Demand will enter an expansion phase in the second quarter

More information

Accenture plc (Exact name of registrant as specified in its charter)

Accenture plc (Exact name of registrant as specified in its charter) UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, DC 20549 Form 8-K CURRENT REPORT Pursuant to Section 13 OR 15(d) of the Securities Exchange Act of 1934 Date of Report (Date of earliest event

More information

Sidoti & Company Spring 2017 Convention

Sidoti & Company Spring 2017 Convention Sidoti & Company Spring 2017 Convention March 29, 2017 Jim McCarley Chief Executive Officer Brian Smith CFO & Treasurer Asia The Americas Europe 1 2017 ExOne Safe Harbor Statement These slides may contain

More information

ACACIA RESEARCH GROUP LLC

ACACIA RESEARCH GROUP LLC ACACIA RESEARCH GROUP LLC ACACIA UNLOCKING RESEARCH patent GROUP, POTENTIAL LLC NASDAQ: ACTG A Subsidiary of Acacia Research Corporation Forward Looking Statements This presentation contains forward looking

More information

Fourth Quarter 2013 Results. February 6, 2014

Fourth Quarter 2013 Results. February 6, 2014 Fourth Quarter 2013 Results February 6, 2014 Safe Harbor Disclosure Please review our SEC filings on Form 10-K and Form 10-Q The statements contained in this presentation that are not historical facts

More information

Operational Intelligence to Deliver Smart Solutions. Copyright 2015 OSIsoft, LLC

Operational Intelligence to Deliver Smart Solutions. Copyright 2015 OSIsoft, LLC Operational Intelligence to Deliver Smart Solutions Presented by John de Koning DEFINITIONS AND CAUTIONARY NOTE Reserves: Our use of the term reserves in this presentation means SEC proved oil and gas

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Second Quarter 2013 Results August 1, 2013

Second Quarter 2013 Results August 1, 2013 Second Quarter 203 Results August, 203 2 Safe Harbor Disclosure Please review our SEC filings on Form 0-K and Form 0-Q The statements contained in this presentation that are not historical facts are forward-looking

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM FOCUS DRY AND EXPOSE WET WITH TWINSCAN HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM ASML is committed to providing customers with the right technology at the right time. With

More information

EUVL: Challenges to Manufacturing Insertion

EUVL: Challenges to Manufacturing Insertion EUVL: Challenges to Manufacturing Insertion Obert R Wood II International Workshop on EUV Lithography CXRO, LBNL, Berkeley, California 14 June 2017 EUV Critical Issues List EUV Critical Issues, as identified

More information