MICROCHIP MANUFACTURING by S. Wolf

Size: px
Start display at page:

Download "MICROCHIP MANUFACTURING by S. Wolf"

Transcription

1 MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS

2 CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution & Depthof-Focus in Micro- Lithography Applications Lithographic Light-Sources Lithographic Exposure-Tools Projection-Printers Overlay and Wafer-Stages MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-2

3 IMAGE-FORMATION IN PHOTORESIST 3-Stages of Forming a Resist-Image Pattern Selectively expose PR with Actinic-Light & Mask Photochemical-Reaction forms Latent-Image Development forms Resist-Image Pattern 4-Components that Contribute to Forming a Resist-Image Pattern Actinic-Light Mask (or Reticle) Lens of the Exposure System PhotoResist-Film MICROCHIP MANUFACTURING 2004 by LATTICE PRESS 19-3

4 ELECTROMAGNETIC-RADIATION (LIGHT) Light exhibits wave-behavior - wavelength λ Also exhibits particle-behavior - photon energy = hν Light propagates at speed c of: c = λ ν Radiant-Energy Continuum - Electromagnetic-Spectrum Light used in Microlithography - UV to X-Rays Yellow-Light used in Litho Work-Areas - wont affect PR Regions of the ElectroMagnetic Spectrum. Lithography uses UV & X-Ray Regions MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-4

5 DIFFRACTION OF LIGHT & ITS IMPACT ON LATENT-IMAGE FORMATION IN RESISTS DIFFRACTION: Light-waves bend around edges of objects INTERFERENCE arises when wave passes thru closely-spaced slits in Mask. Diffraction-Pattern projected onto screen LENS behind Mask collects Light & Focuses it onto Screen But, Diffraction-Effects broaden Image of Mask-Pattern (e.g., Slit) projected onto screen. Broadening impacted by: λ, NA, & Width of Slit (a)-(b) Diffraction Pattern caused by light passing thru two closely-spaced slits (c) Definition of Numerical-Aperture (NA) Basic Imaging Principles: (a) Ideal Shadow Imaging; (b) Diffraction- Broadened Projection-Printing MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-5

6 RESOLUTION: Rayleigh-Criteria Rayleigh Resolution-Criterion (for Images of Point-Sources being observed by an Optical-System): Two Point-Sources are just-resolved when the distance between them δ is: δ = 0.61 λ / NA λ = Wavelength, NA = Numerical-Aperture Rayleigh Depth-of-Focus-Criterion (DOF): DOF = ± 0.5 λ / NA 2 (a)-(b) Light-intensity distribution from a point-source projected through a circular aperture. (c)-(d) Images of Point-Sources (Stars). Rayleigh Resolution Criterion is satisfied in c2 & d2. In c1 & d1 Images are not Resolved. MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-6

7 RESOLUTION: Definition for Microlithography Resolution is defined (for Lithographic Applications) as the ability to produce a Line (or Line & Space) or Opening, that meets an acceptable set of Criteria, including: Linewidth Sidewall-Angle Resist-Thickness after Develop All Four Components of the Lithography-Process have an impact on Resolution: Actinic Light-Source Mask (or Reticle) Lens of Exposure-Tool Photoresist-Film Resolution of Patterns Printed on Wafer MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-7

8 LITHOGRAPHY LIGHT-SOURCES: Mercury-Arc Lamps Actinic-Energy used in Lithography is UV-Light Original UV-Light-Source was Mercury-Arc-Lamp ( W) Glow-Discharge (Arc) of Mercury-Vapor Emits UV-Light at High-Intensities at specific λ: g-line (λ = 436-nm) i-line (λ = 365-nm) Illumination System collects UV-light from Arc-Lamp & Projects it onto Mask Mercury-Arc-Lamp-Based Illumination System Emission-Spectrum of Mercury-Arc-Lamp MICROCHIP MANUFACTURING 2004 by LATTICE PRESS 19-8

9 LITHOGRAPHY LIGHT-SOURCES: Excimer-Laser DUV-Sources For IC-Features Sizes smaller than 0.5-µm, Arc-Lamp i-line-uv-light can no longer Print (Resolve) them Shorter-λ (DUV) & New Light-Source needed - Excimer-Laser (Pulsed Laser-Light Source ) KrF-Excimer-Laser emits 248-nm DUV-light - Used for 0.35, 0.25, & 0.18-µm-CMOS technologies ArF-Excimer-Laser emits 193-nm DUV-light - Used for 0.13, 0.1, &?-µm CMOS technologies F 2 -Excimer-Laser emits 157-nm DUV-light - R&D applications Excimer-Laser System used in Stepper/Scanner Applications MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-9

10 EVOLUTION OF MICROLITHOGRAPHY LITHOGRAPHY was introduced in 1958 to Print Device- Features in the Isoplanar-Process of Hoerni (Fairchild) In 1958 Feature-Sizes were Hundreds of Microns Now < 0.1-micron! Lithography Methods Evolved: Contact-Printing Proximity-Printing Projection-Printing Projection-Printing Now Used Almost-Exclusively: No Contact between Mask & Wafer Better Resolution than Proximity 3-Methods of Wafer-Exposure: (1) Contact; (2) Proximity; (3) Projection Printing MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-10

11 SCANNING-PROJECTION PRINTING (SCANNERS) In Scanning-Projection-Lithography - Mask & Wafer are Simultaneously Scanned through an Arc-Shaped Lens-Field: 1X-Printer (Perkin-Elmer Corp.) Reflective-Optics Uses Broadband-Illumination Can Print Features down to 1.5-micron Scanning Projection Printing: Wafer & Mask are Simultaneously Scanned across Field-Aperture MICROCHIP MANUFACTURING 2004 by LATTICE PRESS 19-11

12 STEP & REPEAT PRINTING (STEPPERS) For IC-feature-sizes 1.0-micron-to-0.25-micron Step-&-Repeat Reduction-Printing is used 5X & 4X-Reduction; Refractive-Lens Wafer-Exposure Sequence Wafer moved to correct Alignment-Position & Focused Exposure-Field is Illuminated Wafer Stepped to next Exposure-Site High-Precision-Stage Steps Wafer Step & Repeat Projection Systems (Steppers) Expose only One-Field at a time MICROCHIP MANUFACTURING 2004 by LATTICE PRESS 19-12

13 STEP & SCAN PRINTING For IC-Feature-Sizes smaller than 0.25-micron Step-& Scan Reduction Printing is used Reduction-Lens is used while Wafer is Scanned over one Exposure-Site Lens-Field is a Narrow-Slit Wafer & Reticle Scanned Simultaneously across Slit After Exposure,Wafer Stepped to Next Exposure-Site Catadioptric or Refractive Optics MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Step & Scan Principle combines operations of stepper & scanner. Within each exposure-field, reticle-pattern is scanned across field

14 WAFER-STAGES & OVERLAY Wafers in Stepper & Scanner Systems must be positioned (& held during exposure) with Extreme Accuracy (±100-nm) Wafer-Stage-Subsystems perform this task Laser-Heterodyne-Interferometry Identifies Stage-Position & Linear-Electric-Motor Drives Stage Pattern-Alignment is achieved with Alignment-Marks (or Targets). Marks on Mask Aligned to Marks on Wafer Wafer-Stage of Steppers & Scanners Examples of Overlay-Target Designs (a) Cross-in-Box (b) Frame-in-Frame MICROCHIP MANUFACTURING 2004 by LATTICE PRESS 19-14

15 SUMMARY OF KEY CONCEPTS The Technology Roadmap for Semiconductors is driven by the desire to continue scaling device-sizes: 0.7X reduction in Linear-Dimension every 3-Years Placement-Accuracy ~1/3 of Feature-Size These goals only achievable by getting Higher-Resolution: Projection-Printing - Using Step-&-Scan Shorter-λ Light-Sources Higher NA-Lenses Advances in Resist-Materials Improve Stage-Positioning Accuracy Resolution-Enhancement Techniques (Chap. 20) Non-Optical Lithography -? (Chap. 20) Whether these challenges can be met represents the biggest uncertainty about the Future of the Roadmap MICROCHIP MANUFACTURING 2004 by LATTICE PRESS Sunset Beach CA 19-15

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XIII, SPIE Vol. 4, pp. 658-664. It is made available as an electronic

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

What s So Hard About Lithography?

What s So Hard About Lithography? What s So Hard About Lithography? Chris A. Mack, www.lithoguru.com, Austin, Texas Optical lithography has been the mainstay of semiconductor patterning since the early days of integrated circuit production.

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

3D light microscopy techniques

3D light microscopy techniques 3D light microscopy techniques The image of a point is a 3D feature In-focus image Out-of-focus image The image of a point is not a point Point Spread Function (PSF) 1D imaging 1 1 2! NA = 0.5! NA 2D imaging

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis Gary Flores, Warren Flack, Lynn Dwyer Ultratech Stepper 3230 Scott Blvd. Santa Clara CA 95054 Abstract A new generation

More information

Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction. Phys 2435: Chap. 36, Pg 1

Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction. Phys 2435: Chap. 36, Pg 1 Diffraction Single-slit Double-slit Diffraction grating Limit on resolution X-ray diffraction Phys 2435: Chap. 36, Pg 1 Single Slit New Topic Phys 2435: Chap. 36, Pg 2 Diffraction: bending of light around

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Nanotechnology I+II 2006 / 07

Nanotechnology I+II 2006 / 07 Nanotechnology for engineers Winter semester 2006-2007 Nanotechnology I+II 2006 / 07 Juergen Brugger & Patrik Hoffmann & Teams Course agenda (winter semester) Nanotechnology I winter semester (23.10.06-9.2.06)

More information

Optical Waveguide Types

Optical Waveguide Types 8 Refractive Micro Optics Optical Waveguide Types There are two main types of optical waveguide structures: the step index and the graded index. In a step-index waveguide, the interface between the core

More information

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question.

Exam 4. Name: Class: Date: Multiple Choice Identify the choice that best completes the statement or answers the question. Name: Class: Date: Exam 4 Multiple Choice Identify the choice that best completes the statement or answers the question. 1. Mirages are a result of which physical phenomena a. interference c. reflection

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Will contain image distance after raytrace Will contain image height after raytrace

Will contain image distance after raytrace Will contain image height after raytrace Name: LASR 51 Final Exam May 29, 2002 Answer all questions. Module numbers are for guidance, some material is from class handouts. Exam ends at 8:20 pm. Ynu Raytracing The first questions refer to the

More information

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13 Chapter 17: Wave Optics Key Terms Wave model Ray model Diffraction Refraction Fringe spacing Diffraction grating Thin-film interference What is Light? Light is the chameleon of the physical world. Under

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas Tutor29.doc: Version 2/15/00 Line End Shortening Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y E x p e r t (Spring 2000) Historically, lithography engineering has focused

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Optical lithography is the technique for

Optical lithography is the technique for By Chris A. Mack Snapshot: The author describes optical lithography in the context of the semiconductor industry. Past trends are evaluated and used to predict future possibilities. The economics of the

More information

The Wave Nature of Light

The Wave Nature of Light The Wave Nature of Light Physics 102 Lecture 7 4 April 2002 Pick up Grating & Foil & Pin 4 Apr 2002 Physics 102 Lecture 7 1 Light acts like a wave! Last week we saw that light travels from place to place

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Reducing Proximity Effects in Optical Lithography

Reducing Proximity Effects in Optical Lithography INTERFACE '96 This paper was published in the proceedings of the Olin Microlithography Seminar, Interface '96, pp. 325-336. It is made available as an electronic reprint with permission of Olin Microelectronic

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Optical Projection Printing and Modeling

Optical Projection Printing and Modeling Optical Projection Printing and Modeling Overview of optical lithography, concepts, trends Basic Parameters and Effects (1-14) Resolution Depth of Focus; Proximity, MEEF, LES Image Calculation, Characterization

More information

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to

More information

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X) Basic Projection Printing (BPP) Modules Purpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing BPP-1: Resolution and Depth of Focus (1.5X) BPP-2: Bragg condition

More information

Chapter 28 Physical Optics: Interference and Diffraction

Chapter 28 Physical Optics: Interference and Diffraction Chapter 28 Physical Optics: Interference and Diffraction 1 Overview of Chapter 28 Superposition and Interference Young s Two-Slit Experiment Interference in Reflected Waves Diffraction Resolution Diffraction

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Immersion Lithography Micro-Objectives

Immersion Lithography Micro-Objectives Immersion Lithography Micro-Objectives James Webb and Louis Denes Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450 (U.S.A.) 585-388-3500, webbj@corning.com, denesl@corning.com ABSTRACT The

More information

Optical Proximity Effects

Optical Proximity Effects T h e L i t h o g r a p h y E x p e r t (Spring 1996) Optical Proximity Effects Chris A. Mack, FINLE Technologies, Austin, Texas Proximity effects are the variations in the linewidth of a feature (or the

More information

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum

Refractive Power of a Surface. Exposure Sources. Thin Lenses. Thick Lenses. High Pressure Hg Arc Lamp Spectrum eractive Power o a Surace The reractive power P is measured in diopters when the radius is expressed in meters. n and n are the reractive indices o the two media. EE-57: icrofabrication n n P n n Exposure

More information

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS Patrick Jaenen, John Slabbekoorn, Andy Miller IMEC Kapeldreef 75 B-3001 Leuven, Belgium millera@imec.be Warren W. Flack, Manish Ranjan, Gareth Kenyon,

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

The Development of Device Lithography

The Development of Device Lithography 5 66 PROCEEDINGS OF THE IEEE, VOL. 71, NO. 5, MAY 1983 The Development of Device Lithography DONALD R. HERRIOT", SENIOR MEMBER, IEEE Invited Paper Abstmet-Lithography has been the principal pacing element

More information

Guide to SPEX Optical Spectrometer

Guide to SPEX Optical Spectrometer Guide to SPEX Optical Spectrometer GENERAL DESCRIPTION A spectrometer is a device for analyzing an input light beam into its constituent wavelengths. The SPEX model 1704 spectrometer covers a range from

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT An Algorithm for Optimizing Stepper Performance Through Image Manipulation Chris A. Mack Department of Defense Fort Meade, MD 20755 ABSTRACT The advent offlexible steppers, allowing variation in the numericalaperture,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Microlithographic Techniques in IC Fabrication, SPIE Vol. 3183, pp. 14-27. It is

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Emerging Lithographic Technologies VIII, SPIE Vol. 5374, pp. 1-8. It is made available

More information

optical and photoresist effects

optical and photoresist effects Focus effects in submicron optical lithography, optical and photoresist effects Chris A. Mack and Patricia M. Kaufman Department of Defense Fort Meade, Maryland 20755 Abstract This paper gives a review

More information

A review on contemporary practices in Lithography

A review on contemporary practices in Lithography IOSR Journal of Applied Chemistry (IOSR-JAC) e-issn: 2278-5736.Volume 7, Issue 4 Ver. II. (Apr. 2014), PP 27-31 A review on contemporary practices in Lithography Perna Kishor Krishna, Mantha Anil Srimanth,

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Physics 1C Lecture 27B

Physics 1C Lecture 27B Physics 1C Lecture 27B Single Slit Interference! Example! Light of wavelength 750nm passes through a slit 1.00μm wide. How wide is the central maximum in centimeters, in a Fraunhofer diffraction pattern

More information

(51) Int Cl.: G03F 7/20 ( )

(51) Int Cl.: G03F 7/20 ( ) (19) TEPZZ_6 ZZ B_T (11) EP 1 62 003 B1 (12) EUROPEAN PATENT SPECIFICATION (4) Date of publication and mention of the grant of the patent: 07.01.1 Bulletin 1/02 (21) Application number: 0474129.7 (22)

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing The Intel Lithography Roadmap A compiled version of all papers from this issue

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C.

High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. High-resolution microlithography using a 193nm excimer laser source. Nadeem H. Rizvi, Dominic Ashworth, Julian S. Cashmore and Malcolm C. Gower Exitech Limited Hanborough Park, Long Hanborough, Oxford

More information

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG NATIONAL UNIVERSITY OF SINGAPORE 2008 THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE

More information

LlIGHT REVIEW PART 2 DOWNLOAD, PRINT and submit for 100 points

LlIGHT REVIEW PART 2 DOWNLOAD, PRINT and submit for 100 points WRITE ON SCANTRON WITH NUMBER 2 PENCIL DO NOT WRITE ON THIS TEST LlIGHT REVIEW PART 2 DOWNLOAD, PRINT and submit for 100 points Multiple Choice Identify the choice that best completes the statement or

More information

Chapter Wave Optics. MockTime.com. Ans: (d)

Chapter Wave Optics. MockTime.com. Ans: (d) Chapter Wave Optics Q1. Which one of the following phenomena is not explained by Huygen s construction of wave front? [1988] (a) Refraction Reflection Diffraction Origin of spectra Q2. Which of the following

More information

PHY 431 Homework Set #5 Due Nov. 20 at the start of class

PHY 431 Homework Set #5 Due Nov. 20 at the start of class PHY 431 Homework Set #5 Due Nov. 0 at the start of class 1) Newton s rings (10%) The radius of curvature of the convex surface of a plano-convex lens is 30 cm. The lens is placed with its convex side down

More information

Exercise 8: Interference and diffraction

Exercise 8: Interference and diffraction Physics 223 Name: Exercise 8: Interference and diffraction 1. In a two-slit Young s interference experiment, the aperture (the mask with the two slits) to screen distance is 2.0 m, and a red light of wavelength

More information

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc.

Chapter 34 The Wave Nature of Light; Interference. Copyright 2009 Pearson Education, Inc. Chapter 34 The Wave Nature of Light; Interference 34-7 Luminous Intensity The intensity of light as perceived depends not only on the actual intensity but also on the sensitivity of the eye at different

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

3D light microscopy techniques

3D light microscopy techniques 3D light microscopy techniques The image of a point is a 3D feature In-focus image Out-of-focus image The image of a point is not a point Point Spread Function (PSF) 1D imaging 2D imaging 3D imaging Resolution

More information

MicroSpot FOCUSING OBJECTIVES

MicroSpot FOCUSING OBJECTIVES OFR P R E C I S I O N O P T I C A L P R O D U C T S MicroSpot FOCUSING OBJECTIVES APPLICATIONS Micromachining Microlithography Laser scribing Photoablation MAJOR FEATURES For UV excimer & high-power YAG

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing Keith Best, Gurvinder Singh, and Roger McCleary Rudolph Technologies, Inc. 16 Jonspin Rd. Wilmington,

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information