Photolithography I ( Part 1 )

Size: px
Start display at page:

Download "Photolithography I ( Part 1 )"

Transcription

1 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science and Technology ( NTNU )

2 2 Wafer Fabrication Process Flow Wafer fabrication (front-end) Wafer start Thin Films Polish Unpatterned wafer Completed wafer Diffusion Photo Etch Test/Sort Implant Used with permission from Advanced Micro Devices Figure 13.1 Quirk & Serda

3 3 Nanofabrication Steps

4 4 Nanofabrication Steps ( Continue )

5 5 Nanofabrication Steps ( Continue )

6 6 Nanofabrication Steps ( Continue )

7 7 Wafer Fabrication Process Flow Wafer fabrication (front-end) Wafer start Thin Films Polish Unpatterned wafer Completed wafer Diffusion Photo Etch Test/Sort Implant Used with permission from Advanced Micro Devices Figure 13.1 Quirk & Serda

8 8 CMOS Manufacturing Steps 8 LI metal n Via 11 M-4 M-3 9 Passivation layer ILD-6 M-2 M-1 Poly gate 3 LI oxide p + p + STI n + n n-well 10 5 ILD-5 ILD-4 ILD-3 ILD-2 ILD-1 1 p - Epitaxial layer 6 p-well Twin-well Implants Bonding pad metal p + 2. Shallow Trench Isolation 3. Gate Structure 4. Lightly Doped Drain Implants 5. Sidewall Spacer 6. Source/Drain Implants 7. Contact Formation 8. Local Interconnect 9. Interlayer Dielectric to Via First Metal Layer 11. Second ILD to Via Second Metal Layer to Via Metal-3 to Pad Etch 14. Parametric Testing p + Silicon substrate

9 9 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

10 10 Different Alignments

11 11 Lens Capturing Diffracted Light Quartz UV Mask Chrome Diffraction patterns Lens Figure Quirk and Serda

12 12 Edge Diffraction and Surface Reflectivity on Proximity Aligner UV exposure light Mask UV Mask Diffracted and reflected light Resist Substrate Gap Substrate Diffraction of light on edges results in reflections from underside of mask causing undesirable resist exposure. Figure Quirk and Serda

13 13 Different Alignments

14 14 Stepper Exposure Field UV light Reticle field size 20 mm 15mm, 4 die per field 5:1 reduction lens Serpentine stepping pattern Image exposure on wafer 1/5 of reticle field 4 mm 3 mm, 4 die per exposure Wafer Figure Quirk and Serda

15 15 Photomask and Reticle for Microlithography 1:1 Mask 4:1 Reticle Photograph provided courtesy of Advanced Micro Devices Photo 13.1 Quirk and Serda

16 16 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

17 17 Three Dimensional Pattern in Photoresist Linewidth Space Photoresist Thickness Substrate Figure 13.2 Quirk and Serda

18 18 Parameters Critical Dimension (CD): Most critical length in a semiconductor device (determines the size of the device, like gate length) Often defined by photolithography If CD can be reduced, more die can be placed on a wafer more cost-efficient Resolution (R): Ability to differentiate between two closely spaced features on the wafer. Smallest feature size possible is critical dimension

19 19 CMOS Manufacturing Steps 8 LI metal n Via 11 M-4 M-3 9 Passivation layer ILD-6 M-2 M-1 Poly gate 3 LI oxide p + p + STI n + n n-well 10 5 ILD-5 ILD-4 ILD-3 ILD-2 ILD-1 1 p - Epitaxial layer 6 p-well Twin-well Implants Bonding pad metal p + 2. Shallow Trench Isolation 3. Gate Structure 4. Lightly Doped Drain Implants 5. Sidewall Spacer 6. Source/Drain Implants 7. Contact Formation 8. Local Interconnect 9. Interlayer Dielectric to Via First Metal Layer 11. Second ILD to Via Second Metal Layer to Via Metal-3 to Pad Etch 14. Parametric Testing p + Silicon substrate

20 20 Component technology nodes (Generations) 130 nm (2001) (Year): Products available in market. Domos earlier. 90 nm (2004) 65 nm (2006) 45 nm (2008) 32 nm (2010) - Intel Core i3 and Core i5 processors 22 nm (2012) - Intel Core i7 (and new Core i5) processors (3D Tri-Gate trans.) 14 nm (2014) - Intel Core M processors (3D Tri-Gate transistors) 10 nm (2016?) 7 nm (2018?) 5 nm (2020?) End of Moore s law??

21 21 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect

22 22 Section of the Electromagnetic Spectrum Visible Gamma rays X-rays UV Infrared Microwaves Radio waves f (Hz) (m) λ λ (nm) VUV DUV DUV i h g Common UV wavelengths used in optical lithography. Figure 13.3 Quirk and Serda

23 23 Important Wavelengths for Photolithography Exposure UV Wavelength (nm) Wavelength Name UV Emission Source 436 g-line Mercury arc lamp 405 h-line Mercury arc lamp 365 i-line Mercury arc lamp 248 Deep UV (DUV) Mercury arc lamp or Krypton Fluoride (KrF) excimer laser 193 Deep UV (DUV) Argon Fluoride (ArF) excimer laser 157 Vacuum UV (VUV) Fluorine (F 2 ) excimer laser Table 13.1 Quirk and Serda

24 24 Photolithography Concepts Patterning Process Photo-mask Reticle Critical Dimension Generations Light Spectrum Resolution Overlay Accuracy Process Latitude

25 25 Negative Lithography Chrome island on glass mask Shadow on photoresist Ultraviolet light Exposed area of photoresist Areas exposed to light become crosslinked and resist the developer chemical. Island Photoresist Window Photoresist Oxide Silicon substrate Oxide Silicon substrate Resulting pattern after the resist is developed. Figure 13.5 Quirk and Serda

26 26 Positive Lithography Ultraviolet light Chrome island on glass mask Shadow on photoresist Areas exposed to light are dissolved. Island Window Exposed area of photoresist photoresist Photoresist Photoresist photoresist Oxide oxide Silicon silicon substrate Oxide oxide Silicon silicon substrate Resulting pattern after the resist is developed. Figure 13.6 Quirk and Serda

27 27 Relationship between Mask and Resist Desired photoresist structure to be printed on wafer Island of photoresist Substrate Chrome Window Quartz Island Mask pattern required when using negative photoresist (opposite of intended structure) Mask pattern required when using positive photoresist (same as intended structure) Figure 13.7 Quirk and Serda

28 28 Clear Field and Dark Field Masks Clear Field Mask Dark Field Mask Simulation of metal interconnect lines (positive resist lithography) Simulation of contact holes (positive resist lithography) Figure 13.8 Quirk and Serda

29 29 Negative versus Positive Resist Negative Resist Wafer image is opposite of mask image Exposed resist hardens and is insoluble Developer removes unexposed resist Positive Resist Mask image is same as wafer image Exposed resist softens and is soluble Developer removes exposed resist Resolution Issues Clear Field Versus Dark Field Masks

30 30 The Purpose of Photoresist in Wafer Fab To transfer the mask pattern to the photoresist on the top layer of the wafer surface To protect the underlying material during subsequent processing, e.g., etch or ion implantation.

31 31 Ten steps of Photolithography UV Light HMDS Resist Mask λ λ 1-3) Vapor prime 4) Spin coat 5) Soft bake 6) Alignment and Exposure 7) Post-exposure bake (PEB) 8) Develop 9) Hard bake 10) Develop inspect Figure 13.9 Quirk & Serda (modified)

32 32 Automated Wafer track for Photolithography Load station Vapor prime Resist coat Develop and rinse Edge-bead removal Transfer station Wafer stepper (Alignment/Exposure system) Wafer Transfer System Soft bake Cool plate Cool plate Hard bake Figure Quirk & Serda

33 33 Photolithography Track System Photo courtesy of Advanced Micro Devices, TEL Track Mark VIII Photo 13.2 Quirk and Serda

34 34 Thank You

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family From Sand to Silicon Making of a Chip Illustrations 32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family April 2011 1 The illustrations on the following foils are low resolution

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

From Sand to Silicon Making of a Chip Illustrations May 2009

From Sand to Silicon Making of a Chip Illustrations May 2009 From Sand to Silicon Making of a Chip Illustrations May 2009 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual steps. For publishing

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI 1 Integrated diodes pn junctions of transistor structures can be used as integrated diodes. The choice of the junction is limited by the considerations of switching speed and breakdown voltage. The forward

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Chapter 1, Introduction

Chapter 1, Introduction Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction hxiao89@hotmail.com 1 Objective After taking this course, you will able to Use common semiconductor terminology Describe a

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015 Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300 Francesca Calderon Miramonte High School August 13th, 2015 1 g-line - 436 nm i-line - 365 nm DUV - 248 nm DUV - 193 nm resolution

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

2 Integrated Circuit Manufacturing:

2 Integrated Circuit Manufacturing: 2 Integrated Circuit Manufacturing: A Technology Resource 2 IC MANUFACTURING TECHNOLOGIES While the integrated circuit drives the packaging and assembly, the IC manufacturing process, and associated methodologies,

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University Resist Features on Poly Pattern Transfer Poly Features on Oxide CD-AFM The Critical Dimension AFM Boot -Shaped Tip Tip shape is optimized to sense topography on vertical surfaces Two-dimensional feedback

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin & Digging Deeper Devices, Fabrication & Reliability For More Info:.com or email Dellin@ieee.org SAMPLE SLIDES & COURSE OUTLINE In : 2. A Easy, Effective, of How Devices Are.. Recommended for everyone who

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

Lecture Notes 5 CMOS Image Sensor Device and Fabrication Lecture Notes 5 CMOS Image Sensor Device and Fabrication CMOS image sensor fabrication technologies Pixel design and layout Imaging performance enhancement techniques Technology scaling, industry trends

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

Layout and technology

Layout and technology INF4420 Layout and technology Dag T. Wisland Spring 2015 Outline CMOS technology Design rules Analog layout Mismatch Spring 2015 Layout and technology 2 Introduction As circuit designers we must carefully

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

EE141-Fall 2009 Digital Integrated Circuits

EE141-Fall 2009 Digital Integrated Circuits EE141-Fall 2009 Digital Integrated Circuits Lecture 2 Integrated Circuit Basics: Manufacturing and Cost 1 1 Administrative Stuff Discussions start this Friday We have a third GSI Richie Przybyla, rjp@eecs

More information

Xilinx XC5VLX50 FPGA UMC 65 nm Process

Xilinx XC5VLX50 FPGA UMC 65 nm Process Xilinx XC5VLX50 FPGA UMC 65 nm Process Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics

More information

Advanced Packaging Solutions

Advanced Packaging Solutions Advanced Packaging Solutions by USHIO INC. USHIO s UX Series Providing Advanced Packaging Solutions Page 2 USHIO s UX Series Models Featured @ SEMICON West 2013 Page 2 Large-Size Interposer Stepper UX7-3Di

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Notes. (Subject Code: 7EC5)

Notes. (Subject Code: 7EC5) COMPUCOM INSTITUTE OF TECHNOLOGY & MANAGEMENT, JAIPUR (DEPARTMENT OF ELECTRONICS & COMMUNICATION) Notes VLSI DESIGN NOTES (Subject Code: 7EC5) Prepared By: MANVENDRA SINGH Class: B. Tech. IV Year, VII

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information