Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Size: px
Start display at page:

Download "Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography"

Transcription

1 Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography

2 Eight Basic Steps of Photolithography Step Chapter Covered 1. Vapor prime Spin coat Soft bake Alignment and exposure Post-exposure bake Develop Hard bake Develop inspect 15 Table 15.1

3 Post Exposure Bake Deep UV Exposure Bake Temperature Uniformity PEB Delay Conventional I-Line PEB

4 Amine Contamination of DUV Resist leading to T-top Formation Region of unexposed photoresist Neutralized photoresist } Resist T-topping H + PAG H + H + H + H + PAG PAG PAG PAG PAG PAG H + H + H + Development H + PAG H + Acid-catalyzed reaction of exposed resist (post PEB) Figure 15.1

5 Reduction of Standing Wave Effect due to PEB Standing waves Unexposed photoresist Exposed photoresist (a) Exposure to UV light (b) Striations in resist (c) PEB causes diffusion (d) Result of PEB Figure 15.2

6 Develop Negative Resist Positive Resist Development Methods Resist Development Parameters

7 Photoresist Development Problems Resist Substrate X Under develop X Incomplete develop Correct develop X Severe overdevelop Figure 15.3

8 Negative Resist Crosslinking UV Exposed resist Unexposed resist Crosslinks Figure 15.4

9 Development of Positive Resist Resist exposed to light dissolves in the develop chemical. Unexposed positive resist Crosslinked resist Figure 15.5

10 Development Methods Continuous Spray Development Puddle Development

11 Resist Development with Continuous Spray Load Station Vapor Prime Resist Coat Spray Develop- Rinse Edge-bead Removal Transfer Station Wafer Transfer System Soft Bake Cool Plate Cool Plate Hard Bake To vacuum pump Vacuum chuck Spindle connected to spin motor (a) Wafer track system (b) Developer spray dispenser Figure 15.6

12 Puddle Resist Development Puddle formation Developer dispenser (a) Puddle dispense (b) Spin-off excess developer (c) DI H2O rinse (d) Spin dry Figure 15.7

13 Resist Development Parameters Developer Temperature Developer Time Developer Volume Normality Rinse Exhaust Flow Wafer Chuck

14 Hard Bake Characteristics of Hard Bake: Post-Development Exposure Evaporates Residual Solvent inphotoresist Hardens the Resist Improves Resist-to-Wafer Adhesion Prepares Resist for Subsequent Processing Higher Temperature than Soft Bake, but not to Point Where Resist Softens and Flows Resist Hardening with Deep UV

15 Softened Resist Flow at High Temperature Photoresist Figure 15.8

16 Develop Inspect Post-Develop Inspection to Find Defects Find Defects before Etching or Implanting Prevents Scrap Characterizes the Photo Process by Providing Feedback Regarding Quality of the Lithography Process Develop Inspect Rework Flow

17 Develop Inspect Rework Flow UV light HMDS Resist Mask 1. Vapor prime 2. Spin coat 3. Soft bake 4. Align and expose 5. Post-exposure bake O 2 Rejected wafers Plasma Strip and clean 8. Develop inspect 7. Hard bake 6. Develop Rework Ion implant Passed wafers Etch Figure 15.9

18 Advanced Lithography Next Generation Lithography Extreme UV (EUV) SCALPEL Ion Projection Lithography (IPL) X-Ray Advanced Resist Processing Development Trends of Photoresistand Lithography DESIRE Process

19 Photolithography Improvements 1. Reduction in wavelength of the UV light source. 2. Increase in numerical aperture. 3. Chemically amplified DUV resists 4. Resolution enhancement techniques (e.g., phase-shift masks and optical proximity correction). 5. Wafer planarization (chemical mechanical planarization, or CMP) to reduce surface topography. 6. Advances in photolithography equipment (e.g., stepper and step-and-scan). Table 15.2

20 Concept for Extreme Ultraviolet Lithography High power laser Step-and-scan 4 reflection reticle Multilayer coated mirrors EUV Plasma ¼ image of reticle Target material Vacuum chamber Step-and-scan wafer stage Redrawn from International SEMATECH's Next Generation Lithography Workshop Brochure Figure 15.10

21 Concept of SCALPEL Electron beam Step-and-scan reticle stage Electrostatic lens system (4:1 reduction) Step-and-scan wafer stage Vacuum chamber Redrawn from International SEMATECH's Next Generation Lithography Workshop Brochure Figure 15.11

22 Ion Projection Lithography Ion source Ion beam Mask Reference plate Electrostatic lens system (4:1 reduction) Step-and-scan wafer stage Vacuum chamber Redrawn from International SEMATECH's Next Generation Lithography Workshop Brochure Figure 15.12

23 Concept of X-ray Photomask Gold plated chrome pattern X-ray absorbers Silicon wafer Glass frame Window etched into lower membrane Membrane X- r ay s Scanning X-rays are directed toward a production wafer through a photomask similar to this one. Redrawn from C. Y. Chang and S. M. Sze, ULSI Technology, edited by C. Y. Chang and S. M. Sze (New York: McGraw-Hill 1996) p.314 Figure 15.14

24 Development Trends of Photoresist and Lithography Negative photoresist 1970s 10 µm Contact Printer Positive photoresist (DNQ-Novolak) 1980s 1.2 µm 1 µm Scanning Aligner G-line Stepper 0.40 µm I-line Stepper Chemical amplification 1990s 0.35 µm PSM, OAI DUV Stepper 0.18 µm DUV Step and Scan Advanced photoresist top surface imaging 2000s µm µm Figure EUV Step and Scan SCALPEL IPL, X-ray

25 Top Surface Imaging UV Exposed resist Exposed Crosslinked Unexposed resist (a) Normal exposure process (b) Post exposure bake Si HMDS Si Silylated exposed resist O 2 plasma develop (c) Vapor phase silyation (d) Final developed pattern Figure 15.16

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Chapter 6. Photolithography

Chapter 6. Photolithography Chapter 6 Photolithography 2006/4/10 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Chapter 6 Photolithography

Chapter 6 Photolithography Chapter 6 Photolithography Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List the four components of

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

i- Line Photoresist Development: Replacement Evaluation of OiR

i- Line Photoresist Development: Replacement Evaluation of OiR i- Line Photoresist Development: Replacement Evaluation of OiR 906-12 Nishtha Bhatia High School Intern 31 July 2014 The Marvell Nanofabrication Laboratory s current i-line photoresist, OiR 897-10i, has

More information

EE 143 Microfabrication Technology Fall 2014

EE 143 Microfabrication Technology Fall 2014 EE 143 Microfabrication Technology Fall 2014 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 EE 143: Microfabrication

More information

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions.

Lithography Is the Designer s Brush. Lithography is indispensible for defining locations and configurations of circuit elements/functions. Lithography 1 Lithography Is the Designer s Brush Lithography is indispensible for defining locations and configurations of circuit elements/functions. 2 ITRS 2007 The major challenge in litho: CD, CD

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

KMPR 1010 Process for Glass Wafers

KMPR 1010 Process for Glass Wafers KMPR 1010 Process for Glass Wafers KMPR 1010 Steps Protocol Step System Condition Note Plasma Cleaning PVA Tepla Ion 10 5 mins Run OmniCoat Receipt Dehydration Any Heat Plate 150 C, 5 mins HMDS Coating

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

T in sec, I in W/cm 2, E in J/cm 2

T in sec, I in W/cm 2, E in J/cm 2 Exposures from Mask Aligner into Resist Mask aligner images created by shadowing from mask into resist Soft contact and Proximity good for 3 micron structures Vacuum Hard Contact: no shadow effects at

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Module 11: Photolithography. Lecture11: Photolithography - I

Module 11: Photolithography. Lecture11: Photolithography - I Module 11: Photolithography Lecture11: Photolithography - I 1 11.0 Photolithography Fundamentals We will all agree that incredible progress is happening in the filed of electronics and computers. For example,

More information

2 Integrated Circuit Manufacturing:

2 Integrated Circuit Manufacturing: 2 Integrated Circuit Manufacturing: A Technology Resource 2 IC MANUFACTURING TECHNOLOGIES While the integrated circuit drives the packaging and assembly, the IC manufacturing process, and associated methodologies,

More information

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Photolithography References: Introduction to Microlithography Thompson, Willson & Bowder, 1994 Microlithography, Science and Technology Sheats & Smith, 1998 Any other Microlithography or Photolithography

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Micro/Nanolithography

Micro/Nanolithography Dale E. Ewbank dale.ewbank@rit.edu unl081413_microe.ppt 2013 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon)

MeRck. AZ nlof technical datasheet. Negative Tone Photoresist for Single Layer Lift-Off APPLICATION TYPICAL PROCESS. SPIN CURVE (150MM Silicon) MeRck technical datasheet AZ nlof 5510 Negative Tone Photoresist for Single Layer Lift-Off APPLICATION AZ nlof 5510 i-line photoresist is engineered to simplify the historically complex image reversal

More information

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS

MeRck. nlof 2000 Series. technical datasheet. Negative Tone Photoresists for Single Layer Lift-Off APPLICATION TYPICAL PROCESS MeRck technical datasheet AZ Negative Tone Photoresists for Single Layer Lift-Off APPLICATION AZ i-line photoresists are engineered to simplify the historically complex image reversal and multilayer lift-off

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

AZ 1512 RESIST PHOTOLITHOGRAPHY

AZ 1512 RESIST PHOTOLITHOGRAPHY AZ 1512 RESIST PHOTOLITHOGRAPHY STANDARD OPERATIONAL PROCEDURE Faculty Supervisor: Prof. R. Bruce Darling Students: Katherine Lugo Danling Wang Department of Electrical Engineering Spring, 2009 TABLE OF

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Contrast Enhancement Materials CEM 365HR

Contrast Enhancement Materials CEM 365HR INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. including their Contrast Enhancement Material (CEM) technology business*. A concentrated effort in the technology advancement of a CEM led

More information

A review on contemporary practices in Lithography

A review on contemporary practices in Lithography IOSR Journal of Applied Chemistry (IOSR-JAC) e-issn: 2278-5736.Volume 7, Issue 4 Ver. II. (Apr. 2014), PP 27-31 A review on contemporary practices in Lithography Perna Kishor Krishna, Mantha Anil Srimanth,

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

(ksaligner & quintel resolution)

(ksaligner & quintel resolution) Process [4.10] (ksaligner & quintel resolution) 1.0 Process Summary 1.1 Since Karl Suss ksaligner is heavily used and Quintel aligner is not, nanolab decided to compare the 2 micron line resolution from

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

Contrast Enhancement Materials CEM 365iS

Contrast Enhancement Materials CEM 365iS INTRODUCTION In 1989 Shin-Etsu Chemical acquired MicroSi, Inc. and the Contrast Enhancement Material (CEM) technology business from General Electric including a series of patents and technologies*. A concentrated

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

MICROLITHOGRAPHY 2004

MICROLITHOGRAPHY 2004 MICROLITHOGRAPHY 2004 From Computer Aided Design (CAD) to Patterned Substrate At the CNF, a number of different options exist for producing a patterned substrate, but deciding which option is best for

More information

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology

William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology DEVELOPMENT OF A PHOTOSENSITIVE POLYIMIDE PROCESS William Reiniach 5th Year Microelectronic Engineering Student Rochester Institute of Technology 1~BS TRACT A six step lithographic process has been developed

More information

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza Technology for the MEMS processing and testing environment SUSS MicroTec AG Dr. Hans-Georg Kapitza 1 SUSS MicroTec Industrial Group Founded 1949 as Karl Süss KG GmbH&Co. in Garching/ Munich San Jose Waterbury

More information

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1

Microlithography. Dale E. Ewbank ul ppt. Microlithography Dale E. Ewbank page 1 Dale E. Ewbank dale.ewbank@rit.edu ul012014.ppt 2014 Dale E. Ewbank page 1 OUTLINE Masks Optical Lithography Photoresist Sensitivity Processing Exposure Tools Advanced Processes page 2 MICROLITHOGRAPHY

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey Clean Room Technology Optical Lithography Lithography I If the automobile had followed the same development cycle as the computer, a Rolls Royce would today cost $100, get a million miles per gallon, and

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley Technische Universität Graz Institute of Solid State Physics Lithography Peter Hadley http://www.cleanroom.byu.edu/virtual_cleanroom.parts/lithography.html http://www.cleanroom.byu.edu/su8.phtml Spin coater

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

3.Photolithography and resist systems

3.Photolithography and resist systems 3.Photolithography and resist systems Exposure Mercury arc lamp Shadow printing projection printing Photomask Substrates Resist systems DNQ-Novolak-based Epoxy-based Polyimide based 1 Exposure Mercury

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool

+ Preferred material for tool O Acceptable material for tool X Unacceptable material for tool Contact Aligners (HTG, ABM, EV620) GCA 5X g-line Stepper GCA i-line Steppers (GCA 10X, AS200) Shipley 1800 Series (1805, 1813, 1818, 1827) + + X AZ nlof 2000 O X + AZ4903 + + X OiR 620-7i X X + OiR 897-12i

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT

ADVANCED MASK MAKING AT RIT. David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT ADVANCED MASK MAKING AT RIT David P. Kanen 5th Year Microelectronic Engineer Student Rochester Institute of Technology ABSTRACT This project involved the definition of the steps necessary to generate a

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES

CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES CHARACTERIZATION OF THE IMPACT OF SCATTERED LIGHT AND POWER ILLUMINATION NON UNIFORMITY ON SEMICONDUCTOR PROCESSES By Rajesh Joshi A thesis submitted in fulfillment of the requirement for the degree of

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

Micro-Nanofabrication

Micro-Nanofabrication Zheng Cui Micro-Nanofabrication TECHNOLOGIES AND APPLICATIONS ^f**"?* ö Springer Higher Education Press -T O Table of Content Preface About the Author Chapter 1 Introduction 1 1.1 Micro-nanotechnologies

More information

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley College of Engineering Department of Electrical Engineering and Below are your weekly quizzes. You should print out a copy of the quiz and complete it before your lab section. Bring in the completed quiz

More information

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

BI-LAYER DEEP UV RESIST SYSTEM. Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT INTRODUCTION BI-LAYER DEEP UV RESIST SYSTEM Mark A. Boehm 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT A portable conformable mask (PCM) system employing KTIS2O

More information

Near-field optical photomask repair with a femtosecond laser

Near-field optical photomask repair with a femtosecond laser Journal of Microscopy, Vol. 194, Pt 2/3, May/June 1999, pp. 537 541. Received 6 December 1998; accepted 9 February 1999 Near-field optical photomask repair with a femtosecond laser K. LIEBERMAN, Y. SHANI,

More information

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists

Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Critical dimension sensitivity to post-exposure bake temperature variation in EUV photoresists Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer

More information

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils EG2605 Undergraduate Research Opportunities Program Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils Tan Chuan Fu 1, Jeroen Anton van Kan 2, Pattabiraman Santhana Raman 2, Yao

More information

Supplement: Fabrication protocol

Supplement: Fabrication protocol Supplement: Fabrication protocol The present series of protocols details how to fabricate both silica microsphere and microtoroid resonant cavities. While silica microsphere resonant cavities are wellestablished,

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Photolithography 光刻 Part I: Optics

Photolithography 光刻 Part I: Optics 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part I: Optics Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Integrate Circuits Moore's law transistor number transistor

More information

Photolithography Module

Photolithography Module Electronics Workforce Development System Photolithography Module Introduction Photolithography Module This module will teach students the different types of microlithographic systems being used today,

More information

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2

MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 Tool owner: Roman Akhmechet, romana@princeton.edu, x 8-0468 Backup: David Barth, dbarth@princeton.edu MicroPG 101 Pattern Generator Standard Operating Procedure Draft v.0.2 QUICK GUIDE PROCEDURE OVERVIEW

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch

Microlithography. exposing radiation. mask. imaging system (low pass filter) photoresist. develop. etch Microlithography Geometry Trends Master Patterns: Mask technology Pattern Transfer: Mask Aligner technology Wafer Transfer Media: Photo resist technology mask blank: transparent, mechanically rigid masking

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

Lithography. International SEMATECH: A Focus on the Photomask Industry

Lithography. International SEMATECH: A Focus on the Photomask Industry Lithography S P E C I A L International SEMATECH: A Focus on the Photomask Industry by Wally Carpenter, International SEMATECH, Inc. (*IBM Corporation Assignee) It is well known that the semiconductor

More information

Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging

Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging 2017 IEEE 67th Electronic Components and Technology Conference Forming a vertical interconnect structure using dry film processing for Fan Out Wafer Level Packaging Yew Wing Leong, Hsiang Yao Hsiao, Soon

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP Casey Donaher, Rudolph Technologies Herbert J. Thompson, Rudolph Technologies Chin Tiong Sim, Rudolph Technologies Rudolph

More information

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2001 EDITION LITHOGRAPHY TABLE OF CONTENTS Scope...1 Difficult Challenges...1 Lithography Technology Requirements...3 Potential Solutions...14 Crosscut

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist

Fabrication of suspended micro-structures using diffsuser lithography on negative photoresist Journal of Mechanical Science and Technology 22 (2008) 1765~1771 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-008-0601-8 Fabrication of suspended

More information

The Development of Device Lithography

The Development of Device Lithography 5 66 PROCEEDINGS OF THE IEEE, VOL. 71, NO. 5, MAY 1983 The Development of Device Lithography DONALD R. HERRIOT", SENIOR MEMBER, IEEE Invited Paper Abstmet-Lithography has been the principal pacing element

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Characterization of a Thick Copper Pillar Bump Process

Characterization of a Thick Copper Pillar Bump Process Characterization of a Thick Copper Pillar Bump Process Warren W. Flack, Ha-Ai Nguyen Ultratech, Inc. San Jose, CA 95126 Elliott Capsuto, Craig McEwen Shin-Etsu MicroSi, Inc. Phoenix, AZ 85044 Abstract

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Chapter 3 Fabrication

Chapter 3 Fabrication Chapter 3 Fabrication The total structure of MO pick-up contains four parts: 1. A sub-micro aperture underneath the SIL The sub-micro aperture is used to limit the final spot size from 300nm to 600nm for

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information