EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Size: px
Start display at page:

Download "EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System"

Transcription

1 EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory Glenn Kubiak, William Sweatt Sandia National Laboratories Neil Wester International SEMATECH International SEMATECH 2nd International Workshop on EUV Lithography Burlingame, California October 17-19, 2000

2 The Micro-Exposure Tool (MET) provides early learning with a high-na imaging system x Microstepper 0.1 NA, small-field Engineering Test Stand 0.1 NA, full-field Key early results: Micro-Exposure Tool Resist Development 0.30 NA, small-field Defect Printability nm imaging Process Latitude Beta-Tools 0.25 NA, full-field Production Tools 0.25 NA, full-field

3 Optical layout of MET camera with condenser concept Mask C1 475 mm Illumination Condenser (C2 & C3) M2 M1 Wafer Discharge Source Micro-Exposure Tool (MET) Optical System 5x reduction NA 0.30 (20 nm resolution) 600 µm x 200 µm field with tilted conjugate planes Residual WFE 0.42 nm rms Aspheric departure M1: 3.8 µm M2: 5.6 µm Obscuration: 10% Baffles (not shown) prevent direct light transmission

4 MET provides ILS > nm -1 to 20 nm, providing capability beyond that of 0.1 NA 10x microstepper X MET Image Log Slope vs. Feature Width (LW/SW = 1) ILS (nm -1 ) ILS min = nm Feature Width (nm)

5 Superior contrast below 70 nm will support printable defect experiments and resist screening NA 10X Aerial Image Profile (Dense 50 nm L/S) MET Aerial Image Profile (50 nm Dense L/S) σ = NA MET σ = 0.7 Relative Intensity Relative Intensity Horizontal Position (nm) Horizontal Position (nm) Contrast ~ 0.34 Contrast ~ 0.81

6 Simulations predict that the MET has a DOF = 225 nm for 35 nm dense L/S ED Window Dense (NA 0.30, σ =.7, λ = 13.4 nm) Exposure delta (%) CD Process Window (Field 3, 35 nm dense) DOF = 225 nm (ELAT = ±5%) Linewidth 31.5 nm 38.5 nm Ideal See poster paper by Russ Hudyma on MET Process Modeling Focus (µm)

7 The High-NA MET enables EUV technology at the 70nm, 50nm, and 35nm nodes Resist development Printability of mask defects Line Edge Roughness EUVL extendability to 35 nm node Exposure-Defocus process window Experience with discharge source Development of focus system

8 Conceptual exposure tool

9 The Micro-Exposure Tool (MET) is envisioned as a flexible printing test-bed Imaging System (funded in FY99-00 by Int l SEMATECH) 0.30 NA (obsc.) 5x; 2 aspheric mirrors with Mo/Si coating Field size: 200 µm x 600 µm, non-scanning Stewart-truss PO Box with pico-motor actuation Source Discharge source Exposure Tool Compatible with 6-inch square format masks 200 mm wafers Focus system Visible light microscope for positioning Semi-automatic wafer and mask handling

10 Optional capabilities are under consideration Imaging System Non-tilted conjugate planes with low distortion in extended field Source Interchangeability with other sources with modified collection optics Rotating scan mirror to enable variable fill and nontilted conjugate planes Exposure Tool In situ alignment Micro-scanning configuration (with non-tilted planes) Fully-automated SMIF pod robotics

11 International SEMATECH is sponsoring the fabrication of the 0.3 NA MET projection optics The design for the aspheric 2-mirror camera is complete Carl Zeiss is manufacturing and testing the aspheric substrates Set 1 substrates will be delivered in Q Set 2 will be delivered in Q Set 1 Specs Set 2 Specs figure: 0.33 nm rms MSFR: 0.35 nm rms HSFR: 0.40 nm rms Figure metrology will be validated at LLNL using PSDI LLNL will ML-coat two sets of optics figure: 0.25 nm rms MSFR: 0.20 nm rms HSFR: 0.10 nm rms Two PO Boxes have been designed and built at LLNL Zeiss will perform system alignment using visible light interferometry PO Box 1 will be shipped to the US; PO Box 2 remains at Zeiss

12 Carl Zeiss MET primary data demonstrates aspheric convergence to Set 1 requirements MET Primary In-Process Measurements Type: Oblate Spheroid Peak departure: 3.82 µm Peak slope: µm/mm Figure f spatial 1 mm nm rms Metrology Reproducibility 0.056nm rms

13 The PO Box provides rigid support for the optics, an interface to the system, and alignment control M2 cell interface provides 360 clocking M2 Mounting button Exact-constraint bi-pod Precision balls for mounting and metrology Super Invar support ring M1 cell articulates in 6 degrees of freedom Variable-length strut (6 total) Picomotor actuation M1

14 The MET PO Box is ready for installation of optics Bi-pods Support ring Variable-length struts Display stand Shown with surrogate optics

15 Common kinematic constraints enable the use of different mounts for metrology and installation M1 Metrology Mount Common Constraint Point M1 PO Box Mount Rolling-element bearings minimize non-repeatability due to friction Reproducibility of Zeiss figure measurements in this mount is nm rms M1 metrology mount with Al surrogate

16 Strut arm length has sensitive control using pivot flexure design See Layton Hale s Poster Paper Piezo-screw actuator 1. Actuation Actuation leads to shortening of the strut Pivot flexure LVDT Super Invar 2. Contraction (10:1 de-amplification) Pivot flexures

17 A key challenge is to identify a plan that supports high-na EUV exposures in 2 years Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Build projection optics Condenser design Project plan & specs In process Start Q4-00 Start Q4-00 Identify industrial partners Sub-system fabrication Integration First exposures

18 Conclusions A proposal for a High-NA EUV Micro-Exposure Tool (MET) has been endorsed by the I-SEMATECH Project Advisory Group (PAG) to provide early learning in resist development and defect printability I-SEMATECH has funded the construction of 0.3 NA projection optics suitable for the MET System planning is underway to assess specifications, schedule, and cost Potential industrial partners are invited to participate in system planning This work was performed under the auspices of the U.S. Department of Energy by University of California Lawrence Livermore National Laboratory under contract No. W-7405-Eng-48. Funding was provided by the Extreme Ultraviolet Limited Liability Corporation under a Cooperative Research and Development Agreement. The authors also wish to acknowledge support from International Sematech through project Lith 112.

Optics for EUV Lithography

Optics for EUV Lithography Optics for EUV Lithography Dr. Sascha Migura, Carl Zeiss SMT GmbH, Oberkochen, Germany 2018 EUVL Workshop June 13 th, 2018 Berkeley, CA, USA The resolution of the optical system determines the minimum

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

Extreme Ultraviolet Lithography for 0.1 pm Devices

Extreme Ultraviolet Lithography for 0.1 pm Devices UCRL-JC-133192 Rev 1 PREPRINT Extreme Ultraviolet Lithography for 0.1 pm Devices S. Vaidya D. Sweeney R. Stulen D. Attwood This paper was prepared for submittal to the 1999 International Symposium on VLSI

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

NIST EUVL Metrology Programs

NIST EUVL Metrology Programs NIST EUVL Metrology Programs S.Grantham, C. Tarrio, R.E. Vest, Y. Barad, S. Kulin, K. Liu and T.B. Lucatorto National Institute of Standards and Technology (NIST) Gaithersburg, MD USA L. Klebanoff and

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Improving registration metrology by correlation methods based on alias-free image simulation

Improving registration metrology by correlation methods based on alias-free image simulation Improving registration metrology by correlation methods based on alias-free image simulation D. Seidel a, M. Arnz b, D. Beyer a a Carl Zeiss SMS GmbH, 07745 Jena, Germany b Carl Zeiss SMT AG, 73447 Oberkochen,

More information

First lithographic results from the extreme ultraviolet Engineering Test Stand

First lithographic results from the extreme ultraviolet Engineering Test Stand First lithographic results from the extreme ultraviolet Engineering Test Stand H. N. Chapman a) Lawrence Livermore National Laboratory, 7000 East Avenue, Livermore, California 94550 A. K. Ray-Chaudhuri,

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan

More information

OPC Rectification of Random Space Patterns in 193nm Lithography

OPC Rectification of Random Space Patterns in 193nm Lithography OPC Rectification of Random Space Patterns in 193nm Lithography Mosong Cheng, Andrew Neureuther, Keeho Kim*, Mark Ma*, Won Kim*, Maureen Hanratty* Department of Electrical Engineering and Computer Sciences

More information

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1 Robert Bristol Heidi Cao Manish Chandhok Michael Leeson

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline Focusing X-ray beams below 50 nm using bent multilayers O. Hignette Optics group European Synchrotron Radiation Facility (FRANCE) Outline Graded multilayers resolution limits 40 nanometers focusing Fabrication

More information

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd Computational Lithography Requirements & Challenges for Mask Making Naoya Hayashi, Dai Nippon Printing Co., Ltd Contents Introduction Lithography Trends Computational lithography options More Complex OPC

More information

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008 Carl Zeiss SMT ACTOP 2008: Presentation Carl Zeiss Laser Optics H. Thiess LO-GOO Oct. 9, 2008 for public use Seite 1 Outline! Zeiss has decades of experience as optics manufacturer. Dedication to mirror

More information

Optics for EUV Production

Optics for EUV Production Optics for EUV Production NXE 3100 NXE 3300 Olaf Conradi, Peter Kuerz, Ralf Arnold, Thure Boehm, Joachim Buechele, Manfred Dahl, Udo Dinger, Hans-Juergen Mann, Stephan Muellender, Martin Lowisch, Oliver

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography 5 th International EUV Symposium Barcelona, Spain Sven Trogisch Markus Bender Frank-Michael Kamm Disclaimer

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

Key Photolithographic Outputs

Key Photolithographic Outputs Exposure latitude Depth of Focus Exposure latitude Vs DOF plot Linearity and MEEF Isolated-Dense Bias NILS Contrast Swing Curve Reflectivity Curve 1 Exposure latitude:the range of exposure energies (usually

More information

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh Lithography Lab. Department of Applied Physics, Hanyang University, Korea *Samsung Electronics Co., LTD. Korea

More information

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments,

More information

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images Takayuki Nakamura ADVANTEST CORPORATION February 24, 2015 San Jose, California Member 2015/2/20 All Rights Reserved - ADVANTEST

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System Dirk Hellweg*, Markus Koch, Sascha Perlitz, Martin Dietzel, Renzo Capelli Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447

More information

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer Patrick Naulleau 1, Kenneth A. Goldberg 1, Erik H. Anderson 1, Phillip Batson 1, Paul Denham 1, Keith Jackson

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Progress of Optical Design for EUV Lithography Tools in BIT

Progress of Optical Design for EUV Lithography Tools in BIT 2014 EUVL Workshop Progress of Optical Design for EUV Lithography Tools in BIT Yanqiu Li*, Zhen Cao, Fei Liu, Qiuli Mei, Yan Liu Beijing Institute of Technology, China E-mail:liyanqiu@bit.edu.cn June 25,

More information

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction Konstantinos Adam*, Robert Socha**, Mircea Dusa**, and Andrew Neureuther* *University

More information

Modeling of EUV photoresists with a resist point spread function

Modeling of EUV photoresists with a resist point spread function Modeling of EUV photoresists with a resist point spread function Jason P. Cain, a* Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering and Computer Sciences, University of California,

More information

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B.

Preparations for EUV Interferometry of the 0.3 NA MET Optic. Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Preparations for EUV Interferometry of the 0.3 NA MET Optic Kenneth A. Goldberg, Patrick P. Naulleau, Paul E. Denham, Senajith B. Rekawa, Keith H. Jackson, J. Alexander Liddle, Bruce Harteneck, Eric Gullikson,

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol Abstract There are two issues in printing small contacts with EUV lithography (EUVL).

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

16nm with 193nm Immersion Lithography and Double Exposure

16nm with 193nm Immersion Lithography and Double Exposure 16nm with 193nm Immersion Lithography and Double Exposure Valery Axelrad, Sequoia Design Systems, Inc. (United States) Michael C. Smayling, Tela Innovations, Inc. (United States) ABSTRACT Gridded Design

More information

High-NA EUV lithography enabling Moore s law in the next decade

High-NA EUV lithography enabling Moore s law in the next decade High-NA EUV lithography enabling Moore s law in the next decade Jan van Schoot, Kars Troost, Alberto Pirati, Rob van Ballegoij, Peter Krabbendam, Judon Stoeldraijer, Erik Loopstra, Jos Benschop, Jo Finders,

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source Jason P. Cain, a1 Patrick Naulleau, b Costas J. Spanos a a Department of Electrical Engineering

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium IMEC update A.M. Goethals IMEC, Leuven, Belgium Outline IMEC litho program overview ASML ADT status 1 st imaging Tool description Resist projects Screening using interference litho K LUP / Novel resist

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Manufacturing, testing and alignment of Sentinel-2 MSI telescope mirrors

Manufacturing, testing and alignment of Sentinel-2 MSI telescope mirrors Manufacturing, testing and alignment of Sentinel-2 MSI telescope mirrors P. Gloesener, F. Wolfs, F. Lemagne, C. Flebus AMOS Angleur, Belgium pierre.gloesener@amos.be P. Gloesener, F. Wolfs, F. Lemagne,

More information

High Spatial Resolution Metrology using Sub-Aperture Stitching

High Spatial Resolution Metrology using Sub-Aperture Stitching High Spatial Resolution Metrology using Sub-Aperture Stitching Stephen O Donohue, Paul Murphy and Marc Tricard 1040 University Avenue, Rochester, NY USA +1 (585) 256-6540 tricard@qedmrf.com www.qedmrf.com

More information

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made Copyright 00, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made available as an electronic reprint with permission of

More information

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Opto-Mechanical Equipment of KBTEM: Present Day and the Future KBTEM JSC, Minsk, Belarus Opto-Mechanical Equipment of KBTEM: Present Day and the Future Quality Management System Certificate ISO-9001 since 2001 SPIE Member since 2003 www.kb-omo.by Dr. S.Avakaw SEMI

More information

Energy beam processing and the drive for ultra precision manufacturing

Energy beam processing and the drive for ultra precision manufacturing Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Optical Microlithography XXVIII

Optical Microlithography XXVIII PROCEEDINGS OF SPIE Optical Microlithography XXVIII Kafai Lai Andreas Erdmann Editors 24-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by Cymer, an ASML company (United

More information

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011 EUVL Scanners Operational at Chipmakers Skip Miller Semicon West 2011 Outline ASML s Lithography roadmap to support Moore s Law Progress on NXE:3100 (0.25NA) EUV systems Progress on NXE:3300 (0.33NA) EUV

More information

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION Donis G. Flagello a, Jan Mulkens b, and Christian Wagner c a ASML, 8555 S. River Parkway, Tempe, AZ 858,

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Invited Paper Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process Erez Graitzer 1 ; Avi Cohen 1 ; Vladimir Dmitriev 1 ; Itamar Balla 1 ; Dan Avizemer 1 Dirk Beyer

More information

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 3-29-2006 Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

More information

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools Uwe Stamm, Jürgen Kleinschmidt, Bernd Nikolaus, Guido Schriever, Max Christian Schürmann, Christian Ziener

More information

Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5

Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5 Projection Optics for Extreme Ultraviolet Lithography (EUVL) Microfield Exposure Tools (METs) with a Numerical Aperture of 0.5 Holger Glatzel *1, Dominic Ashworth 2, Mark Bremer 1, Rodney Chin 1, Kevin

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA Optical Lithography Here Is Why Burn J. Lin SPIE PRESS Bellingham, Washington USA Contents Preface xiii Chapter 1 Introducing Optical Lithography /1 1.1 The Role of Lithography in Integrated Circuit Fabrication

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 UV Nanoimprint Stepper Technology: Status and Roadmap S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008 Overview Introduction Stepper technology status: Patterning and CD Control Through Etch Alignment

More information

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography

PicoMaster 100. Unprecedented finesse in creating 3D micro structures. UV direct laser writer for maskless lithography UV direct laser writer for maskless lithography Unprecedented finesse in creating 3D micro structures Highest resolution in the market utilizing a 405 nm diode laser Structures as small as 300 nm 375 nm

More information

Purpose: Explain the top advanced issues and concepts in

Purpose: Explain the top advanced issues and concepts in Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: LER and Chemically Amplified Resists

More information

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING VINCENT WIAUX, VICKY PHILIPSEN, ERIC HENDRICKX EUVL WORKSHOP. BERKELEY, JUNE 13 th, 2018. PUBLIC EUV MASK 3D EFFECTS EXPERIMENTAL

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

Large-Area Interference Lithography Exposure Tool Development

Large-Area Interference Lithography Exposure Tool Development Large-Area Interference Lithography Exposure Tool Development John Burnett 1, Eric Benck 1 and James Jacob 2 1 Physical Measurements Laboratory, NIST, Gaithersburg, MD, USA 2 Actinix, Scotts Valley, CA

More information

From ArF Immersion to EUV Lithography

From ArF Immersion to EUV Lithography From ArF Immersion to EUV Lithography Luc Van den hove Vice President IMEC Outline Introduction 193nm immersion lithography EUV lithography Global collaboration Conclusions Lithography is enabling 1000

More information

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithogr for Sub-45nm Era Tae-Seung Eom*, Jun-Taek Park, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting Breakout Session 3: Mirror Update 2007/4/16-17 1/22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting stefan@slac.stanford.edu Breakout Session 3: Mirror Update Overall Offset Mirror System

More information

Flare compensation in EUV lithography

Flare compensation in EUV lithography Flare compensation in EUV lithography Place your image on top of this gray box. If no graphic is applicable, delete gray box and notch-out behind gray box, from the Title Master Jonathan Cobb, Ruiqi Tian,

More information

Lithography on the Edge

Lithography on the Edge Lithography on the Edge David Medeiros IBM Prague, Czech Republic 3 October 009 An Edge A line where an something begins or ends: A border, a discontinuity, a threshold Scaling Trend End of an Era? 0000

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS Donis G. Flagello ASM Lithography de Run 1110 5503 LA Veldhoven The Netherlands Bernd Geh

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

A Novel Condenser for EUVL Lithography Ring-Field Projection Optics

A Novel Condenser for EUVL Lithography Ring-Field Projection Optics UCRL-JC-133281 PREPRINT A Novel Condenser for EUVL Lithography Ring-Field Projection Optics H. N. Chapman K. A. Nugent This paper was prepared for submittal to the 44th Annual Meeting of the International

More information