Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Size: px
Start display at page:

Download "Characterization of Actinic Mask Blank Inspection for Improving Sensitivity"

Transcription

1 Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan tezukay@mirai.aist.go.jp Y. Tezuka, M 25 EUVL Symposium, Nov.7 th 1

2 Outline Introduction Goal / positioning of M Experimental setup / Inspection image Opportunity factors PSF characterization Mask blank roughness Sensitivity prediction Risk factors Defect seed dependency Prototype design Summary Y. Tezuka, M 25 EUVL Symposium 2

3 Positioning of Research in M Goals Phase 1 (~Mar 4) Demonstrate POC of novel mask blank inspection Successfully Completed! Phase 2 (~Mar 6) Complete design of full-field prototype Opportunities and risks identified from POC tool Scope Development of advanced yet affordable mask blank inspection tool to: Support multilayer process development Study future generation capability -> Deliver top quality mask blank in EUVL pilot phase Y. Tezuka, M 25 EUVL Symposium 3

4 POC Tool & Inspection Image YAG Laser Zr Filter Ellipsoidal Mirror PC Zr filter Illuminator chamber Imaging optics chamber CCD camera EUV Spherical Mirror Tape Target CCD Camera (pixel=13µm) YAG Laser Mask blank doorway 625 Mask Blank Plane Mirror Schwarzschild Optics (2x, NA=.2) Light source chamber Clean Room Class 1 42x7nm FWHM x Height 8x5nm 7x3.5nm.5 mm Courtesy of Y. Tezuka, M 25 EUVL Symposium 4

5 Through Focus Images -4µm -3µm -2µm -1µm µm +1µm +2µm +3µm +4µm Fraction in Center Pixel x5 i, j A 3,3 BG ( A i BG ), j Local Local No. 25 No Defocus (µm) Single pixel can collect only 25~35% even at best focus on optical axis +5µm +6µm +7µm -> Need quantitative analysis Y. Tezuka, M 25 EUVL Symposium 5

6 PSF Characterization Pixel Intensity P Fitting variables: x x PSF FWHM (µm) i,j D =, y D πσ σ x y P x x i,j : i i D y j exp j, y, σ, σ y D : Defect coordinate within a pixel x 2 ( x x ) ( y y ) y D 2 2σ x + D 2 2σ y 2 dxdy Defect No. Fitting 13µm PSF(FWHM) = 18.4 µm +/-.5 µm (95% Confidence Interval) Image height non-dominant -> Blur Budget Analysis Y. Tezuka, M 25 EUVL Symposium 6

7 Image Blur Budget Analysis Total PSF Optics PSF CCD PSF Field Curvature (Defocus) Aberration Encircled Energy Ratio Estimate from 55 Fe photon counting image Good Agreement! Mirror data (geometric) Total PSF - CCD PSF (Experiment) Estimate from Interferometry PSF Component : 66% CCD, 31% Optics Sensitivity will be improved by: 1. Higher magnification, even w/o optics quality improvement 2. CCD PSF improvement Y. Tezuka, M 25 EUVL Symposium 7

8 Defect Position Estimate Accuracy Y Coordinate (pixel) pixel on mask = 65nm Programmed Defects Defect No. Fitting Residual (pixel) Defect No. 3σ =.13 pixel = 87nm on mask Positional identification capability with sub-pixel resolution demonstrated Y. Tezuka, M 25 EUVL Symposium 8

9 Mask Blank Surface Roughness 1 B P i 2 16π fmax R ( ) 2 f 2 π λ fmin PSD( f ) df 1 rms =.145 nm in 1µm sq. PSD (nm4) Experiment Assumed model Spatial Frequency (1/nm) 1.8x BG intensity of assumed model Reduction of mask blank roughness critical, especially in spatial period range ~1nm Y. Tezuka, M 25 EUVL Symposium 9

10 Sensitivity Derivation Extrapolation from Experiments + Statistical scaling Signal Intensity (SBR) SNR Volume (nm 3 ) S( volume) B( roughness, pixel) σ ( pixel) r ξ ( PSF) f ( PSF) pixel-to-pixel variability (%) = Normalized SNR pixel size (µm) PSF FWHM (pixel) Y. Tezuka, M 25 EUVL Symposium 1

11 Sensitivity Prediction FWHM of 2nm-high Detectable Defects at SNR>7 Detection Probability (%) 1 Current MAG x PSF x.7 + BG x.7 33nm 39nm 4nm 42nm 47nm 48nm 48nm 55nm defect pixel Worst case location = largest undetectable Best case location = smallest detectable Defect Width FWHM (nm) Blank Roughness Reduction is Essential! Y. Tezuka, M 25 EUVL Symposium 11

12 Risk Factors Signal Intensity (SBR) y =.153 x 6nm w x 1nm h Binarized Image Natural Defect Volume (nm 3 ) AFM Profile 6nm Question: Weakness for 1nm-high defects? 1nm Y. Tezuka, M 25 EUVL Symposium 12

13 Height Dependence 7nm 1nm Intensity (arb. units) nm 1nm Pixel 3µm 1nm-high step intensity ~1/6 -> FDTD EM Simulation Y. Tezuka, M 25 EUVL Symposium 13

14 2D wide line defect model Gaussian FWHM Gaussian + Flat +Gaussian Slope width: SW 9% 1% Defect height: H Shape: Gaussian Si layer Mo layer Period: 6.98 nm Bilayer #: 4 Simulated by EM-Suite TM Y. Tezuka, M 25 EUVL Symposium 14

15 Signal Intensity Simulation Intensity (arb. units) FWHM 6nm 9nm 12nm NA in =.1 NA out =.2 (SW) (43nm) (65nm) (86nm) 7nm 1nm AFM profile of a truncated pyramid defect Defect Height (nm) 53nm EM Simulation corroborates Intensity difference between 7nm and 1nm EM Simulation suggests intensity also depends on slope width Y. Tezuka, M 25 EUVL Symposium 15

16 Smoothing Mode Dependence Mode 1 Linear Layer 4 Mode 1 Decelerated Layer 4 Mode 3 Accelerated Layer 4 Intensity (arb. units) Top Height = 2nm Fixed Bottom height & smooth speed dependence mode 1 mode 2 mode 3 NA in =.1 NA out = Bottom Height (nm) 1nm-seeded defect may show low signal intensity uncorrelated with printability Y. Tezuka, M 25 EUVL Symposium 16

17 EUV Scattering Simulation Angular distribution from 2D Gaussian Line defect Intensity (Arb. units) FWHM:6nm NA Height 2nm 4nm 6nm 8nm 1nm Angle (deg) Higher NA is NOT a universal solution - background increase will surpass signal increase for low defects Y. Tezuka, M 25 EUVL Symposium 17 Background Intensity (%) Background intensity dependence on NA Assumed model Actual PSD Outer NA

18 Full-field Prototype Design CCD Camera (TDI Operation) EUV Light Source 26x optics Mask Stage Synchronized Control Load Lock Model MIRACL-1 (1) Specification Objects 625 EUVL Mask Blanks Sensitivity < 4nm w x 2nm h (2) Throughput 2hrs/blank Pos. Accuracy < 25nm Light Source Illumination Imaging Optics Sensor Stage Software Alignment Interface Configuration wavelength=13.5nm, DPP, Every pulse Triggerble Critical Illumination, Ellipsoidal mirror + Plane mirror, Illum. area >.5mm sq. 26x Schwarzshild Optics, Inner NA =.1, Outer NA =.2~.3 Back-illuminated CCD, TDI Operation, Synchronized with light source pulses Continuous move, Interferometer feedback Automatic defect cllasification, Size inference, Position identification Focus / Position alignment function by fiducal mark SMIF-capable, EUV mask handling standard compliant (1: Maskblank Inspection for Reflective multilayer by ACtinic Light) (2: in use of ultra smooth blank) Y. Tezuka, M 25 EUVL Symposium 18

19 Summary Sensitivity-limiting factors characterized, improvement path identified Magnification, PSF, blank roughness are critical to bring sensitivity to hp 32nm node Potential risks identified Seeds height dependence, profile / smoothing dependence need continuous study Full-field prototype design nearly complete Risk mitigation paths explored Y. Tezuka, M 25 EUVL Symposium 19

20 Acknowledgments We would like to acknowledge : T. Shoki, K. Yamashiro, Y. Usui and O. Nagarekawa of HOYA Corporation for their fabrication of the programmed defect mask blank. Y. Sugiyama of Nikon Corporation for providing data on mirror quality of the Schwarzschild optics This work was performed as part of a Ministry of Economy, Trade and Industry (METI) Project of Japan under contract with the New Energy and Industrial Technology Development Organization (NEDO). Y. Tezuka, M 25 EUVL Symposium 2

EUV Actinic Blank Inspection Tool Development

EUV Actinic Blank Inspection Tool Development EUV Actinic Blank Inspection Tool Development EUVL Symposium 2011 Hiroki Miyai 1, Tomohiro Suzuki 1, Kiwamu Takehisa 1, Haruhiko Kusunose 1, Takeshi Yamane 2, Tsuneo Terasawa 2, Hidehiro Watanabe 2, Soichi

More information

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL Takeo Watanabe, Tetsuo Harada, and Hiroo Kinoshita Center for EUVL, University of Hyogo Outline 1) EUV actinic

More information

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Defect printability of thin absorber mask in EUV lithography with refined LER resist [#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp

More information

Comparison of actinic and non-actinic inspection of programmed defect masks

Comparison of actinic and non-actinic inspection of programmed defect masks Comparison of actinic and non-actinic inspection of programmed defect masks Funded by Kenneth Goldberg, Anton Barty Hakseung Han*, Stefan Wurm*, Patrick Kearney, Phil Seidel Obert Wood*, Bruno LaFontaine

More information

Diffractive optical elements and their potential role in high efficiency illuminators

Diffractive optical elements and their potential role in high efficiency illuminators Diffractive optical elements and their potential role in high efficiency illuminators Patrick Naulleau Farhad Salmassi, Eric Gullikson, Erik Anderson Lawrence Berkeley National Laboratory Patrick Naulleau

More information

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller Light Sources for EUV Mask Metrology Heiko Feldmann, Ulrich Müller Dublin, October 9, 2012 Agenda 1 2 3 4 Actinic Metrology in Mask Making The AIMS EUV Concept Metrology Performance Drivers and their Relation

More information

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System John S. Taylor, Donald Sweeney, Russell Hudyma Layton Hale, Todd Decker Lawrence Livermore National Laboratory

More information

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope Kenichi Ikeda 1, Hideyuki Kotaki 1 ' 2 and Kazuhisa Nakajima 1 ' 2 ' 3 1 Graduate University for Advanced

More information

SEMATECH Defect Printability Studies

SEMATECH Defect Printability Studies Accelerating the next technology revolution SEMATECH Defect Printability Studies Il Yong Jang 1, Jenah Harris-Jones 1, Ranganath Teki 1, Vibhu Jindal 1, Frank Goodwin 1 Masaki Satake 2, Ying Li 2, Danping

More information

National Projects on Semiconductor in NEDO

National Projects on Semiconductor in NEDO National Projects on Semiconductor in NEDO June 17, 2011 Toru Nakayama New Energy and Industrial Technology Development Organization (NEDO), Japan Contents About NEDO NEDO s projects for semiconductor

More information

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments a, Michael Shumway b,e, Lou Marchetti d, Donald Phillion c, Regina Soufli c, Manish Chandhok a, Michael Goldstein a, and Jeff Bokor

More information

BEAM HALO OBSERVATION BY CORONAGRAPH

BEAM HALO OBSERVATION BY CORONAGRAPH BEAM HALO OBSERVATION BY CORONAGRAPH T. Mitsuhashi, KEK, TSUKUBA, Japan Abstract We have developed a coronagraph for the observation of the beam halo surrounding a beam. An opaque disk is set in the beam

More information

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1 Development Status of Canon s EUVL Exposure Tool Akira Miyake, Chidane Ouchi, Hideki Morishima, and Hiroyoshi Kubo Canon Inc. International EUVL Symposium, October 18 2010, Kobe Slide 1 Outline EUVL Exposure

More information

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin Progress towards Actinic Patterned Mask Inspection Oleg Khodykin Outline Status (technical) of EUV Actinic Reticle Inspection program Xe based LPP source as bright and reliable solution Requirements Choice

More information

Bias errors in PIV: the pixel locking effect revisited.

Bias errors in PIV: the pixel locking effect revisited. Bias errors in PIV: the pixel locking effect revisited. E.F.J. Overmars 1, N.G.W. Warncke, C. Poelma and J. Westerweel 1: Laboratory for Aero & Hydrodynamics, University of Technology, Delft, The Netherlands,

More information

Mask Technology Development in Extreme-Ultraviolet Lithography

Mask Technology Development in Extreme-Ultraviolet Lithography Mask Technology Development in Extreme-Ultraviolet Lithography Anthony Yen September 6, 2013 Projected End of Optical Lithography 2013 TSMC, Ltd 1976 1979 1982 1985 1988 1991 1994 1997 2000 2003 2007 2012

More information

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC Patrick Kearney a, Won-Il Cho a, Chan-Uk Jeon a, Eric Gullikson b, Anwei Jia c, Tomoya Tamura c, Atsushi Tajima c,

More information

2009 International Workshop on EUV Lithography

2009 International Workshop on EUV Lithography Contents Introduction Absorber Stack Optimization Non-flatness Correction Blank Defect and Its Mitigation Wafer Printing Inspection Actinic Metrology Cleaning and Repair Status Remaining Issues in EUV

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd EUV Lithography The March toward HVM Anthony Yen 9 September 2016 1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation

More information

Development of ultra-fine structure t metrology system using coherent EUV source

Development of ultra-fine structure t metrology system using coherent EUV source 2009 International Workshop On EUV Lithography, July 13-17,2009 Development of ultra-fine structure t metrology system using coherent EUV source University of Hyogo 1, Hiroo Kinoshita 1,3, Tetuo Harada

More information

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera Figure 1. The Zeta-20 uses the Grasshopper3 and produces true color 3D optical images with multi mode optics technology 3D optical profiling

More information

Evaluation of Technology Options by Lithography Simulation

Evaluation of Technology Options by Lithography Simulation Evaluation of Technology Options by Lithography Simulation Andreas Erdmann Fraunhofer IISB, Erlangen, Germany Semicon Europe, Dresden, October 12, 2011 Outline Introduction: Resolution limits of optical

More information

Nikon EUVL Development Progress Update

Nikon EUVL Development Progress Update Nikon EUVL Development Progress Update Takaharu Miura EUVL Symposium September 29, 2008 EUVL Symposium 2008 @Lake Tahoe T. Miura September 29, 2008 Slide 1 Presentation Outline 1. Nikon EUV roadmap 2.

More information

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source 2015 International Workshop on EUV and Soft X-Ray Sources Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source T. Parkman 1, M. F. Nawaz 2, M. Nevrkla 2, M. Vrbova 1, A. Jancarek

More information

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline Focusing X-ray beams below 50 nm using bent multilayers O. Hignette Optics group European Synchrotron Radiation Facility (FRANCE) Outline Graded multilayers resolution limits 40 nanometers focusing Fabrication

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Sub-nanometer Interferometry Aspheric Mirror Fabrication UCRL-JC- 134763 PREPRINT Sub-nanometer Interferometry Aspheric Mirror Fabrication for G. E. Sommargren D. W. Phillion E. W. Campbell This paper was prepared for submittal to the 9th International Conference

More information

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry OPTICA ACTA, 1985, VOL. 32, NO. 12, 1455-1464 Contouring aspheric surfaces using two-wavelength phase-shifting interferometry KATHERINE CREATH, YEOU-YEN CHENG and JAMES C. WYANT University of Arizona,

More information

Recent Development Activities on EUVL at ASET

Recent Development Activities on EUVL at ASET Title Recent Development Activities on at ASET Shinji Okazaki ASET Laboratory 2 nd International Workshop on 1 Overall Development Plan 98 99 00 01 02 03 04 05 06 07 08 ASET Basic Technologies 100% Government

More information

Lander University 10 Spin-Cast Epoxy Mirror Tests Bruce Holenstein and Dylan Holenstein/Gravic March 12, 2011 *** Preliminary ***

Lander University 10 Spin-Cast Epoxy Mirror Tests Bruce Holenstein and Dylan Holenstein/Gravic March 12, 2011 *** Preliminary *** Lander University 10 Spin-Cast Epoxy Mirror Tests Bruce Holenstein and Dylan Holenstein/Gravic March 12, 2011 *** Preliminary *** Introduction At the request of Lisa Brodhacker from Lander University,

More information

Critical Challenges of EUV Mask Blank Volume Production

Critical Challenges of EUV Mask Blank Volume Production Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG,

More information

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc. Presentation Outline Source Technology Requirements Source Technology Performance DPP LPP Technology Trend

More information

EUVL getting ready for volume introduction

EUVL getting ready for volume introduction EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress

More information

Photon Diagnostics. FLASH User Workshop 08.

Photon Diagnostics. FLASH User Workshop 08. Photon Diagnostics FLASH User Workshop 08 Kai.Tiedtke@desy.de Outline What kind of diagnostic tools do user need to make efficient use of FLASH? intensity (New GMD) beam position intensity profile on the

More information

EUV Lithography Transition from Research to Commercialization

EUV Lithography Transition from Research to Commercialization EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1 EUV

More information

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING VINCENT WIAUX, VICKY PHILIPSEN, ERIC HENDRICKX EUVL WORKSHOP. BERKELEY, JUNE 13 th, 2018. PUBLIC EUV MASK 3D EFFECTS EXPERIMENTAL

More information

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions Difrotec Product & Services Ultra high accuracy interferometry & custom optical solutions Content 1. Overview 2. Interferometer D7 3. Benefits 4. Measurements 5. Specifications 6. Applications 7. Cases

More information

plasmonic nanoblock pair

plasmonic nanoblock pair Nanostructured potential of optical trapping using a plasmonic nanoblock pair Yoshito Tanaka, Shogo Kaneda and Keiji Sasaki* Research Institute for Electronic Science, Hokkaido University, Sapporo 1-2,

More information

CODE V Introductory Tutorial

CODE V Introductory Tutorial CODE V Introductory Tutorial Cheng-Fang Ho Lab.of RF-MW Photonics, Department of Physics, National Cheng-Kung University, Tainan, Taiwan 1-1 Tutorial Outline Introduction to CODE V Optical Design Process

More information

The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, Kraków, Poland.

The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, Kraków, Poland. The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, 31-342 Kraków, Poland. www.ifj.edu.pl/reports/2003.html Kraków, grudzień 2003 Report No 1931/PH

More information

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology Tetsuo Harada* 1,3, Masato Nakasuji 1,3, Teruhiko Kimura 1,3, Yutaka Nagata 2,3, Takeo Watanabe 1,3, Hiroo Kinoshita

More information

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, The future of EUVL by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender, William H. Arnold, Jos Benshop, Steven G. Hansen, Koen van Ingen-Schenau Outline Introduction

More information

Calibration of AO Systems

Calibration of AO Systems Calibration of AO Systems Application to NAOS-CONICA and future «Planet Finder» systems T. Fusco, A. Blanc, G. Rousset Workshop Pueo Nu, may 2003 Département d Optique Théorique et Appliquée ONERA, Châtillon

More information

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Zoneplate lenses for EUV microscopy EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson Lawrence Berkeley National Laboratory

More information

Progress & actual performance of the Selete EUV1

Progress & actual performance of the Selete EUV1 Progress & actual performance of the Selete EUV1 Kazuo Tawarayama*, Hajime Aoyama, Kentaro Matsunaga, Shunko Magoshi Selete Suigen Kyoh, Yumi Nakajima, Satoshi Tanaka, TOSHIBA 1 Outline Introduction Tool

More information

Design Description Document

Design Description Document UNIVERSITY OF ROCHESTER Design Description Document Flat Output Backlit Strobe Dare Bodington, Changchen Chen, Nick Cirucci Customer: Engineers: Advisor committee: Sydor Instruments Dare Bodington, Changchen

More information

Optical design of a high resolution vision lens

Optical design of a high resolution vision lens Optical design of a high resolution vision lens Paul Claassen, optical designer, paul.claassen@sioux.eu Marnix Tas, optical specialist, marnix.tas@sioux.eu Prof L.Beckmann, l.beckmann@hccnet.nl Summary:

More information

Laser Produced Plasma Light Source for HVM-EUVL

Laser Produced Plasma Light Source for HVM-EUVL Laser Produced Plasma Light Source for HVM-EUVL Akira Endo, Hideo Hoshino, Takashi Suganuma, Krzysztof Nowak, Tatsuya Yanagida, Takayuki Yabu, Takeshi Asayama, Yoshifumi Ueno, Masato Moriya, Masaki Nakano,

More information

3.0 Alignment Equipment and Diagnostic Tools:

3.0 Alignment Equipment and Diagnostic Tools: 3.0 Alignment Equipment and Diagnostic Tools: Alignment equipment The alignment telescope and its use The laser autostigmatic cube (LACI) interferometer A pin -- and how to find the center of curvature

More information

Projection Systems for Extreme Ultraviolet Lithography

Projection Systems for Extreme Ultraviolet Lithography Chapter 4B Projection Systems for Extreme Ultraviolet Lithography Russell M. Hudyma and Regina Soufli Contents 4B.1 General EUVL Optical Design Considerations 135 4B.2 EUV Microsteppers 138 4B.2.1 10 microstepper

More information

Proposed Adaptive Optics system for Vainu Bappu Telescope

Proposed Adaptive Optics system for Vainu Bappu Telescope Proposed Adaptive Optics system for Vainu Bappu Telescope Essential requirements of an adaptive optics system Adaptive Optics is a real time wave front error measurement and correction system The essential

More information

http://goldberg.lbl.gov 1 To EUV or not to EUV? That is the question. Do we need EUV interferometry and EUV optical testing? 17 Things you need to know about perfecting EUV optics. 2 The main things you

More information

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects by Yow-Gwo Wang A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy

More information

Hartmann wavefront sensing Beamline alignment

Hartmann wavefront sensing Beamline alignment Hartmann wavefront sensing Beamline alignment Guillaume Dovillaire SOS Trieste October 4th, 2016 G. Dovillaire M COM PPT 2016.01 GD 1 SOS Trieste October 4th, 2016 G. Dovillaire M COM PPT 2016.01 GD 2

More information

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3   armain. Discovering Electrical & Computer Engineering Carmen S. Menoni Professor Week 3 http://www.engr.colostate.edu/ece103/semin armain.html TOP TECH 2012 SPECIAL REPORT IEEE SPECTRUM PAGE 28, JANUARY 2012 P.E.

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

WaveMaster IOL. Fast and accurate intraocular lens tester

WaveMaster IOL. Fast and accurate intraocular lens tester WaveMaster IOL Fast and accurate intraocular lens tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is a new instrument providing real time analysis

More information

Using Stock Optics. ECE 5616 Curtis

Using Stock Optics. ECE 5616 Curtis Using Stock Optics What shape to use X & Y parameters Please use achromatics Please use camera lens Please use 4F imaging systems Others things Data link Stock Optics Some comments Advantages Time and

More information

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Analysis of Focus Errors in Lithography using Phase-Shift Monitors Draft paper for SPIE Conference on Microlithography (Optical Lithography) 6/6/2 Analysis of Focus Errors in Lithography using Phase-Shift Monitors Bruno La Fontaine *a, Mircea Dusa **b, Jouke Krist b,

More information

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer Katsumi Sugisaki Yucong Zhu a Yoshio Gomei amasahito Niibe b Takeo Watanabe b Hiroo Kinoshita b a Association of

More information

Design of the cryo-optical test of the Planck reflectors

Design of the cryo-optical test of the Planck reflectors Design of the cryo-optical test of the Planck reflectors S. Roose, A. Cucchiaro & D. de Chambure* Centre Spatial de Liège, Avenue du Pré-Aily, B-4031 Angleur-Liège, Belgium *ESTEC, Planck project, Keplerlaan

More information

Pixel hybrid photon detectors

Pixel hybrid photon detectors Pixel hybrid photon detectors for the LHCb-RICH system Ken Wyllie On behalf of the LHCb-RICH group CERN, Geneva, Switzerland 1 Outline of the talk Introduction The LHCb detector The RICH 2 counter Overall

More information

Challenges of EUV masks and preliminary evaluation

Challenges of EUV masks and preliminary evaluation Challenges of EUV masks and preliminary evaluation Naoya Hayashi Electronic Device Laboratory Dai Nippon Printing Co.,Ltd. EUV Mask Workshop 2004 1 Contents Recent Lithography Options on Roadmap Challenges

More information

EUV projection optics and active mirror development at SAGEM

EUV projection optics and active mirror development at SAGEM EUV projection optics and active mirror development at SAGEM R. Geyl,, M. Boutonne,, J.L. Carel,, J.F. Tanné, C. Voccia,, S. Chaillot,, J. Billet, Y. Poulard, X. Bozec SAGEM, Etablissement de St Pierre

More information

On-line spectrometer for FEL radiation at

On-line spectrometer for FEL radiation at On-line spectrometer for FEL radiation at FERMI@ELETTRA Fabio Frassetto 1, Luca Poletto 1, Daniele Cocco 2, Marco Zangrando 3 1 CNR/INFM Laboratory for Ultraviolet and X-Ray Optical Research & Department

More information

R&D Status and Key Technical and Implementation Challenges for EUV HVM

R&D Status and Key Technical and Implementation Challenges for EUV HVM R&D Status and Key Technical and Implementation Challenges for EUV HVM Sam Intel Corporation Agenda Requirements by Process Node EUV Technology Status and Gaps Photoresists Tools Reticles Summary 2 Moore

More information

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember Günter Toesko - Laserseminar BLZ im Dezember 2009 1 Aberrations An optical aberration is a distortion in the image formed by an optical system compared to the original. It can arise for a number of reasons

More information

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm Resolution of optical systems depends on the wavelength visible light λ = 500 nm Spatial Resolution = k λ NA EUV and SXR microscopy can potentially resolve full-field images with 10-100x smaller features

More information

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman 2008 European EUVL EUV activities the EUVL shop future plans Rob Hartman 2007 international EUVL Symposium 28-31 October 2007 2008 international EUVL Symposium 28 Sapporo, September Japan 1 October 2008

More information

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008 Carl Zeiss SMT ACTOP 2008: Presentation Carl Zeiss Laser Optics H. Thiess LO-GOO Oct. 9, 2008 for public use Seite 1 Outline! Zeiss has decades of experience as optics manufacturer. Dedication to mirror

More information

Spatially Resolved Backscatter Ceilometer

Spatially Resolved Backscatter Ceilometer Spatially Resolved Backscatter Ceilometer Design Team Hiba Fareed, Nicholas Paradiso, Evan Perillo, Michael Tahan Design Advisor Prof. Gregory Kowalski Sponsor, Spectral Sciences Inc. Steve Richstmeier,

More information

Large Field of View, High Spatial Resolution, Surface Measurements

Large Field of View, High Spatial Resolution, Surface Measurements Large Field of View, High Spatial Resolution, Surface Measurements James C. Wyant and Joanna Schmit WYKO Corporation, 2650 E. Elvira Road Tucson, Arizona 85706, USA jcwyant@wyko.com and jschmit@wyko.com

More information

CaSSIS. Colour and Stereo Surface Imaging System. L. Gambicorti & CaSSIS team

CaSSIS. Colour and Stereo Surface Imaging System. L. Gambicorti & CaSSIS team CaSSIS Colour and Stereo Surface Imaging System & CaSSIS team CaSSIS on Exomars TGO l l Introduction CaSSIS: stereo-colour camera Telescope and Optical configuration Best focus on ground CaSSIS integration

More information

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester WaveMaster IOL Fast and Accurate Intraocular Lens Tester INTRAOCULAR LENS TESTER WaveMaster IOL Fast and accurate intraocular lens tester WaveMaster IOL is an instrument providing real time analysis of

More information

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL FERMILAB-CONF-16-641-AD-E ACCEPTED FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL A.H. Lumpkin 1 and A.T. Macrander 2 1 Fermi National Accelerator Laboratory, Batavia, IL 60510

More information

Adaptive Optics for LIGO

Adaptive Optics for LIGO Adaptive Optics for LIGO Justin Mansell Ginzton Laboratory LIGO-G990022-39-M Motivation Wavefront Sensor Outline Characterization Enhancements Modeling Projections Adaptive Optics Results Effects of Thermal

More information

Light Sources for High Volume Metrology and Inspection Applications

Light Sources for High Volume Metrology and Inspection Applications Light Sources for High Volume Metrology and Inspection Applications Reza Abhari International Workshop on EUV and Soft X- Ray Sources November 9-11, 2015, Dublin, Ireland Reza S. Abhari 11/10/15 1 Inspection

More information

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication David López-Romero Moraleda. Technical Support Manager, Crestec Corporation Spain Branch. Financiación-Internacionalización-Cooperación.

More information

EUVL Activities in China

EUVL Activities in China 2014 EUVL Workshop EUVL Activities in China Yanqiu Li, Zhen Cao Beijing Institute of Technology (BIT) Email: liyanqiu@bit.edu.cn Activities only refer to published papers June 25, 2014 OUTLINE Overview

More information

EUV Multilayer Fabrication

EUV Multilayer Fabrication EUV Multilayer Fabrication Rigaku Innovative Technologies Inc. Yuriy Platonov, Michael Kriese, Jim Rodriguez ABSTRACT: In this poster, we review our use of tools & methods such as deposition flux simulation

More information

Optics for next generation light sources

Optics for next generation light sources Optics for next generation light sources Anton Barty Centre for Free Electron Laser Science Hamburg, Germany Key issues Optical specifications Metrology (mirror surfaces) Metrology (wavefront, focal spot)

More information

Shaping light in microscopy:

Shaping light in microscopy: Shaping light in microscopy: Adaptive optical methods and nonconventional beam shapes for enhanced imaging Martí Duocastella planet detector detector sample sample Aberrated wavefront Beamsplitter Adaptive

More information

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System Sascha Perlitz a, Jan Hendrik Peters a, Markus Weiss b, Dirk Hellweg b, Renzo Capelli b, Krister Magnusson b, Matt Malloy

More information

Wavefront Sensing In Other Disciplines. 15 February 2003 Jerry Nelson, UCSC Wavefront Congress

Wavefront Sensing In Other Disciplines. 15 February 2003 Jerry Nelson, UCSC Wavefront Congress Wavefront Sensing In Other Disciplines 15 February 2003 Jerry Nelson, UCSC Wavefront Congress QuickTime and a Photo - JPEG decompressor are needed to see this picture. 15feb03 Nelson wavefront sensing

More information

Case Study: Custom CCD for X-ray Free Electron Laser Experiment

Case Study: Custom CCD for X-ray Free Electron Laser Experiment Introduction The first XFEL (X-ray Free Electron Laser) experiments are being constructed around the world. These facilities produce femto-second long bursts of the most intense coherent X-rays ever to

More information

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin film is characterized by using an optical profiler (Bruker ContourGT InMotion). Inset: 3D optical

More information

Atomic Resolution Imaging with a sub-50 pm Electron Probe

Atomic Resolution Imaging with a sub-50 pm Electron Probe Atomic Resolution Imaging with a sub-50 pm Electron Probe Rolf Erni, Marta D. Rossell, Christian Kisielowski, Ulrich Dahmen National Center for Electron Microscopy, Lawrence Berkeley National Laboratory

More information

Large-Area Interference Lithography Exposure Tool Development

Large-Area Interference Lithography Exposure Tool Development Large-Area Interference Lithography Exposure Tool Development John Burnett 1, Eric Benck 1 and James Jacob 2 1 Physical Measurements Laboratory, NIST, Gaithersburg, MD, USA 2 Actinix, Scotts Valley, CA

More information

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy Qiyuan Song (M2) and Aoi Nakamura (B4) Abstracts: We theoretically and experimentally

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

ECEN 4606, UNDERGRADUATE OPTICS LAB

ECEN 4606, UNDERGRADUATE OPTICS LAB ECEN 4606, UNDERGRADUATE OPTICS LAB Lab 3: Imaging 2 the Microscope Original Version: Professor McLeod SUMMARY: In this lab you will become familiar with the use of one or more lenses to create highly

More information

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH M. Castellano, E. Chiadroni, A. Cianchi, K. Honkavaara, G. Kube DESY FLASH Seminar Hamburg, 05/09/2006 Work

More information

Registration performance on EUV masks using high-resolution registration metrology

Registration performance on EUV masks using high-resolution registration metrology Registration performance on EUV masks using high-resolution registration metrology Steffen Steinert a, Hans-Michael Solowan a, Jinback Park b, Hakseung Han b, Dirk Beyer a, Thomas Scherübl a a Carl Zeiss

More information

Challenges of Optics for High Repetition Rate XFEL Source

Challenges of Optics for High Repetition Rate XFEL Source Challenges of Optics for High Repetition Rate XFEL Source Liubov Samoylova, European XFEL GmbH ACTOP11, DIAMOND, April 5 th, 2011 2 European XFEL photon transport system - overview X-ray optics for XFEL:

More information

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens Lecture Notes 10 Image Sensor Optics Imaging optics Space-invariant model Space-varying model Pixel optics Transmission Vignetting Microlens EE 392B: Image Sensor Optics 10-1 Image Sensor Optics Microlens

More information

Multi-aperture camera module with 720presolution

Multi-aperture camera module with 720presolution Multi-aperture camera module with 720presolution using microoptics A. Brückner, A. Oberdörster, J. Dunkel, A. Reimann, F. Wippermann, A. Bräuer Fraunhofer Institute for Applied Optics and Precision Engineering

More information

Progress in full field EUV lithography program at IMEC

Progress in full field EUV lithography program at IMEC Progress in full field EUV lithography program at IMEC A.M. Goethals*, G.F. Lorusso*, R. Jonckheere*, B. Baudemprez*, J. Hermans*, F. Iwamoto 1, B.S. Kim 2, I.S. Kim 2, A. Myers 3, A. Niroomand 4, N. Stepanenko

More information

Correlation of mid-spatial features to image performance in aspheric mirrors

Correlation of mid-spatial features to image performance in aspheric mirrors Correlation of mid-spatial features to image performance in aspheric mirrors Flemming Tinker, Kai Xin Aperture Optical Sciences Inc., 27 Parson Ln. Unit G, Durham, CT 06422 ABSTRACT Modern techniques in

More information

ANECHOIC CHAMBER EVALUATION

ANECHOIC CHAMBER EVALUATION ANECHOIC CHAMBER EVALUATION Antenna Measurement Techniques Association Conference October 3 - October 7, 1994 Karl Haner Nearfield Systems Inc. 1330 E. 223rd Street Bldg.524 Carson, CA 90745 USA (310)

More information