Design for Reliability --

Size: px
Start display at page:

Download "Design for Reliability --"

Transcription

1 Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara

2 Increasing Failure Sources and Failure Rates design errors soft errors random defects parametric variations SEU Temperature (C) On-Die Temperature variations

3 Harder to Design Reliable Chips First-silicon success rate has been dropping ~30% for complex (according to an ASIC vendor) Pre-silicon logic bugs have been increasing at 3X-4X per generation for Intel s processors Yield has been dropping for volume production and takes longer to ramp up the yield IBM s 8-core Cell-Processor chips: ~10-20% yield (July 2006) Better than worst-case design resulting in failures w/o defects Increase in variation of process parameters with scaling Worst-case design getting way too conservative

4 Design for Reliability Systems must be designed to cope with failures Efficient silicon debug is becoming a must Design for debugging would become necessary Must have embedded self-test for error detection For both testing in manufacturing line and in-the-field testing Both on-line and off-line testing Re-configurability and adaptability for error recovery make better sense Using spares to replace defective parts Using redundancy to mask errors Using tuning to compensate variations

5 From Test to Recovery/Reconfiguration Some Examples Memory: BIST BISD BISR a common practice On-Line sensing and tuning On-chip leakage sensing Leakage control (adaptive body bias) On-chip thermal sensing Cooling adjustment On-chip delay sensing Performance tuning Analog/RF/High-speed IO components Digitally-assisted analog design and test Multicore system with spares

6 Analog Circuit Design Trade-offs Power dissipation vs. speed and precision trade-offs feature size ratio of power dissipation of analog to digital E.g., a 12-bit ADC consumes as much energy as switching 300K gates in 90nm technology Source: B. Murmann, IEEE Micro, March-April 2006.

7 Digitally-Assisted Analog Design Leverage powerful digital computing capability Pros: improve precision and/or speed of analog circuit without dramatically increasing its power dissipation Use a less-precise/lower-performance analog circuit plus a digital processor which: measures errors of analog block then compensates its errors and tune its performance Analog Input Measure Error Relaxed Analog circuit Complex Digital processor Adjust/ Compensate Analog/Digital Output

8 Example: Pipelined ADC ADC suffers from nonlinearity due to capacitor mismatch, finite opamp gain, etc. System output is down-sampled, and compared with signal from slow-but-accurate ADC Update tap values in digital FIR filter to minimize the errors of inaccurate ADC Analog Digital Input TH Inaccurate ADC Digital Filter Output Down Sample N Slow-but- Accurate ADC Adaptation ε N

9 Example: RF Polar Transmitter VCO-gain and loop linearity in PLL are critical to accuracy of the polar modulator Adjust capacitance in VCO and current in CP to calibrate VCO-gain and tune loop-gain Polar Modulator Ampitude Digital Coordinate TX Data Rotation Phase Modulator Ref PLL PA RF Out TX Data Divider FD Ref Frequency Measurement Alignment Algorithm CP Analog Digital LPF VCO TX Out

10 Example: Adaptive Equalizer in High- Speed Serial-Link (HSSL) Receiver EQ in RX is realized by a FIR filter Tap coefficients (C 1, C 2, ) in EQ are adjusted by Adaptation engine (A) (B) Delay Delay EQ Input C 1 C 2 C EQ 3 Output (C) Channel - induce ISI (B) EQ (C) CDR Clock (D) (A) (B) (C) Adaptation ε RX (D)

11 Observability Problem of Embedded Analog Blocks Direct observation of analog signal is problematic Self-compensation by digital block causes masking of defects in analog block SOC Hard to observe & Fault masking Analog Input Relaxed Analog circuit Analog Output Next Stage Measure Error Adjust/Compensate Complex Digital processor

12 Digitally-Assisted Analog Testing Proposed solution: Applying specific stimulus to analog block and analyzing the digital calibration and adaptation results stored in digital block for fault detection and diagnosis Analog Input/ Test Stimulus Measure Error Relaxed Analog circuit Complex Digital processor Adjust/ Compensate Analog/Digital Output Analyze Digital Calibration/Adaptation Result Digitally Assisted Analog Design Digitally Assisted Analog Testing

13 Fault Detection for Pipelined ADC & PLL of RF Transmitter Analyze tap values in the digital filter of ADC Analyze calibration data in self-alignment unit of PLL Capture calibration results Input TH Analog Digital Inaccurate ADC Digital Filter Output TX Data Divider Frequency Measurement Analog Digital TX Out Down Sample N Slow-but- Accurate ADC Adaptation ε N FD Alignment Algorithm CP LPF VCO Ref

14 Existing Methods for Testing Adaptive EQ in HSSL RX External scope to capture EQ output via access point Signal integrity is degraded due to extra loading On-chip waveform monitor Increase circuitry complexity and device cost Signal RX EQ Clock Equalized Signal CDR Data Eye-diagram Drive Circuit On-Chip Monitor Access Point

15 Testable Design for Adaptive EQ Insert extra DfT circuitry: FF chain to store digital tap coefficients c i A switch and a pattern generator to replace the slicer output DfT circuitry are all digital Input EQ Output CDR Clock Adaptation ε Pattern Generator FF FF C i Scan Out

16 Experiment: Detecting Defects in a 5-Tap Feed-forward EQ Illustrating 3 types of single-fault instances: Fault (a): Stuck-at faults at one of the 5 taps Fault (b): 20% gain error at one of the 5 taps Fault (c): 10% DC offset due to nonzero common input EQ Input Main Cursor Tap D D D D C 5 Fault(a) C 1 C 2 C 3 C 4 EQ Output 80% Adaptation Fault(b)

17 Fault Masking of Fault (a) if Detection is Made by Examining EQ Output The difference in eye-diagrams between fault-free and faulty EQ is small η = EQ Input Main Cursor Tap D D D D Fault-Free EQ C 5 1-bit period C 1 C 2 C 3 C 4 η = Fault(a) Adaptation EQ Output Faulty EQ

18 Testing Faults (a) & (b) by Proposed Method EQ Input Fault(a) Test Fault (a): with stimuli AI(1) & DI Test Fault (b): with stimuli AI(2) & DI Locate the fault in the 1 st tap D D D C 1 C 2 C 3 80% Fault(b) C 4 D Adaptation C 5 PE Card ATE DSP AI EQ Adaptation c i DUT CDR ε Clock DI Pattern Gen AI (1) AI (2) AI (3) DI Tap Number 1-bit period repeat higher level Tap Weight Without Stuck-At Fault With Stuck-At Fault Tap Number Tap Weight 1 Without Gain Error 0.8 With Gain Error Tap Number Tap Weight 1 Without Gain Error 0.8 With Gain Error Tap Number Stimuli : AI(1) & DI Stimuli : AI(1) & DI Stimuli : AI(2) & DI

19 From Test to Recovery/Reconfiguration Some Examples Memory: BIST BISD BISR a common practice On-Line sensing and tuning On-chip leakage sensing Leakage control (adaptive body bias) On-chip thermal sensing Cooling adjustment On-chip delay sensing Performance tuning Analog/RF/High-speed IO components Digitally-assisted analog design and test Multicore system with spares

20 Could 10-20% yields for Cell processors lead to problems for Sony PS3? * With standard SiGe single-core processors, IBM can achieve yields of up to 95%. But with a chip like the Cell processor, you're lucky to get 10 or 20 percent." If you really want to be focused on reliability and up-time availability, you can design one of these chips to self-detect. You can ship it with eight cores working, blow one of them, and from a user perspective you would have self-healed it in the field. With such systems in place, yields could conceivably increase in a best-case scenario to 40% - still significantly lower than the 95% yields that IBM and others enjoyed during the single-core, "one-byone" era. * Electronic News 7/7/06 and TGDaily 7/14/06, Interview of Tom Reeves, VP of semiconductor and technology services at IBM

21 Need New Test Strategy and Yield Analysis for Multi-core Systems with Spares Understanding impact of core yield, test quality and spare scheme on final system yield and cost How many spare cores should be included? How many working spares in a shipped chip would be sufficient? What is the required core defect quality to achieve required system reliability? Can we skip burn-in and repair infant mortality in the field? Intel 80-tile network on chip (ISSCC07) IBM CELL Processor (8 SPE) (ISSCC05) Sun Niagara (8 Sparc cores) (IEEE Micro 2005)

22 M-out-of-N-core System Definition: A system that has totally N cores and requires at least M defect-free cores for operation Cell for PS3 is a 7-out-of-8-core system System effective yield is a function of: core yield number of active cores (M) total number of cores (N) number of partitions of a core defect coverage Finer spare granularity, better spare utilization but lower core yield B1 B3 B2 Core 1 Core 2 B4 partitioning requires additional control and configuring logic, thus increasing core area

23 Scenarios for Consideration 1. Manufacturing testing and repair in the manufacturing line Screening defective chips from shipping Improving effective yield 2. Self-testing (on-line or off-line) and repair in the field Covering defects missed by manufacturing testing and new failures in-the-field Reducing service cost

24 Yield Model for One Core Raw yield of a core, Y Ci, is a function of area, defect λ A density, and clustering factor (α): y i (A, λ, α ) = ( 1 + α c ) α is the degree to which defects are clustered α Prob (core C i is defect free C i passes testing): Y Ci Prob(C i passing testing)=y Ci y' C i A λ Ω ( A, λ, α, Ω ) = (1 + ) α α * de Sousa and Agrawal, DATE 2000 * Kuo and Kim, Proc. of IEEE 1999

25 Example: 1-out-of-2-Core System A chip could be shipped if: Default core C1 passes testing: Prob=y C1 C1 fails testing and spare C2 passes: Prob= (1-y C1 )xy C2 A shipped chip is indeed a working chip if: C1 passes testing and indeed fault-free: Prob=y C1 C1 fails testing, C2 passes & indeed fault-free: Prob=(1-y C1 )xy C2 Effective yield (Probability of a chip that can be shipped and indeed working): y C1 +(1-y C1 )xy C2 The reject ratio can be easily calculated

26 Effective Yield for M-out-of-N System ), ( ) ( ) ' (1 ) ',,, ( 0 i M N P y y i M y y M N y i M C i C M i C C e = = j C j S C S i j y y j S i S P ) ( ) ' (1 ), ( = = Probability that (M-i) out of M default cores are fault-free Probability that at least i out of (N -M) spares are fault-free.

27 System Yield vs Core Yield (9-out-of-N-core Systems) Aug. 14, 2007 IC-DFN Core yield=80% Core yield=70% Core yield=60% Core yield=50%

28 Example: 3-out-of-6-Core System Sample assumptions: Manufacturing test defect coverage: 100% Core yield: 0.65 Effective chip yield: 88.2% Shipped chips with S remaining spares default cores C1 C2 C4 C5 (A) = 8.5%, (B) = 27.7%, (C) = 37.2%, (D) = 26.6% spares C1 C2C3 C4C5C6 C3 C1C2C3 C6 C4C5C6 C1C2C3 C4C5C6 total 3 cases C1C2C3 C4 C4C6 C1C2C3 C4C5C6 C1C2C3 C4C5C6 total 6 cases C1C2C3 C4C5C6 C1C2C3 C4C5C6 C1C2C3 C4C5C6 total 7 cases 3 remaining spares 2 remaining spares 1 remaining spare No remaining spare (A) (B) (C) (D) Should we ship chips without remaining spares?

29 Scenarios for Consideration 1. Manufacturing testing and repair in the manufacturing line Screening defective chips from shipping Improving effective yield 2. Self-testing (on-line or off-line) and repair in the field Off-line BIST or on-line checking for fault detection in the field Covering defects missed by manufacturing testing as well as new failures occurred after chip shipment Reconfiguration in the field

30 Should We Ship Chips Without Fault-Free Spares? Not shipping them reduces effective yield and, thus, increases unit manufacturing cost Shipping them increases field return rate and, thus, increases unit service cost Factors for consideration: Core failure rate in the field r = cost of replacing/servicing an irreparable chip in the field manufacturing cost per shipped chip Number of fault-free spares

31 Core Failure Rate in the Field Weibul distribution model for a core s lifecycle*: 2 parameters: shape (β) & scale (λ) Scale parameter: the time at which 63.2% of units will fail Shape parameter: β (; λ, β t β 1 f t ) = ( ) < 1, infant mortality λ λ = 1, grace period > 1, breakdown period Failure rate (f) Infant Mortality Grace Period Time (t) Breakdown Period * Carulli and Anderson, IEEE Design & Test Computers March/April 2006

32 Failure Rate for M-out-of-N Systems Core field-failure-rate over the time t: F C = y y' C C e ( t β /λ) Probability of a M-out-of-N chip NOT failing at time t: M RM out of N( t) = PS ( i) i= N 0 R( i, t) probability of a shipped chip with i spares passing test probability of a chip with i available spares not failing at time t

33 Yield Analysis Framework for Multicore Systems with Spares We developed an analysis framework that can be used to: Calculate effective system yield Determine the number of spares for cost minimization Analyze feasibility of eliminating burn-in for multi-core systems Determine whether to ship chips with no or few working spares High-quality testing (both manufacturing testing and infield testing) remains one of most critical requirements for multi-core systems

34 Conclusions Systems must be designed to cope with failures Cost-effective embedded self-test will replace existing manufacturing test methodologies for heterogeneous SoC/SiP Post-silicon tuning/calibration/reconfiguration is becoming promising, and necessary, for Si nano systems

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC VDEC D2T Symposium Dec. 11 2009 Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC Haruo Kobayashi Gunma University k_haruo@el.gunma-u.ac.jp 1 Contents 1. Introduction 2. Review of Analog

More information

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers Two-Tone Test Method for Continuous-Time daptive Equalizers Dongwoo Hong*, Shadi Saberi**, Kwang-Ting (Tim) Cheng*, C. Patrick Yue* University of California, Santa Barbara, C, US* Carnegie Mellon University,

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella,

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Challenges in Designing CMOS Wireless System-on-a-chip

Challenges in Designing CMOS Wireless System-on-a-chip Challenges in Designing CMOS Wireless System-on-a-chip David Su Atheros Communications Santa Clara, California IEEE Fort Collins, March 2008 Introduction Outline Analog/RF: CMOS Transceiver Building Blocks

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 1 OUTLINE Transmitter Performance Evaluation Block Diagram

More information

Recent Advances in Analog, Mixed-Signal, and RF Testing

Recent Advances in Analog, Mixed-Signal, and RF Testing IPSJ Transactions on System LSI Design Methodology Vol. 3 19 46 (Feb. 2010) Invited Paper Recent Advances in Analog, Mixed-Signal, and RF Testing Kwang-Ting (Tim) Cheng 1 and Hsiu-Ming (Sherman) Chang

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

DFT and BIST. Stephen Sunter. Engineering Director, Mixed-signal DFT. June stephen_sunter a t mentor. com

DFT and BIST. Stephen Sunter. Engineering Director, Mixed-signal DFT. June stephen_sunter a t mentor. com Research frontiers in DFT and BIST Stephen Sunter Engineering Director, Mixed-signal DFT Silicon Test Solutions June 2011 stephen_sunter a t mentor. com Introduction Evolution of DFT techniques for random

More information

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science Yield, Reliability and Testing The Progressive Trend of IC Technology Integration level Year Number of transistors DRAM integration SSI 1950s less than 10 2 MSI 1960s 10 2-10 3 LSI 1970s 10 3-10 5 4K,

More information

Test Synthesis for Mixed-Signal SOC Paths Λ

Test Synthesis for Mixed-Signal SOC Paths Λ Test Synthesis for Mixed-Signal SOC Paths Λ Sule Ozev, Ismet Bayraktaroglu, and Alex Orailoglu Computer Science and Engineering Department University of California, San Diego La Jolla, CA 993 fsozev, ibayrakt,

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

A Defect Oriented Approach for Testing RF Front-Ends of Wireless Transceivers

A Defect Oriented Approach for Testing RF Front-Ends of Wireless Transceivers A Defect Oriented Approach for Testing RF Front-Ends of Wireless Transceivers Lambros E. Dermentzoglou * National and Kapodistrian University of Athens Department of Informatics & Telecommunications dermetz@di.uoa.gr

More information

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder

Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder 1 of 6 12/10/06 10:11 PM Fault Tolerance and Reliability Techniques for High-Density Random-Access Memories (Hardcover) by Kanad Chakraborty, Pinaki Mazumder (1 customer review) To learn more about the

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Modulation Based On-Chip Ramp Generator for ADC BIST

Modulation Based On-Chip Ramp Generator for ADC BIST Modulation Based On-Chip Ramp Generator for ADC BIST WAG YOG-SHEG, WAG JI-XIAG, LAI FEG-CHAG, YE YI-ZHEG Microelectronics Center Harbin Institute of Technology 92#, Xidazhi Street, Harbin, Heilongjiang,

More information

On-Chip Automatic Analog Functional Testing and Measurements

On-Chip Automatic Analog Functional Testing and Measurements On-Chip Automatic Analog Functional Testing and Measurements Chuck Stroud, Foster Dai, and Dayu Yang Electrical & Computer Engineering Auburn University from presentation to Select Universities Technology,

More information

NAND Structure Aware Controller Framework

NAND Structure Aware Controller Framework NAND Structure Aware Controller Framework mengxin@derastorage.com Santa Clara, CA 1 Outline The Challenges of NAND Flash Adaptive Error Mitigation by means of NAND Structure Aware Noise Cells Repair Dynamic

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Analog Circuit Test Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Fault model based testing IEEE 1149.4 analog test bus standard Summary References

More information

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility European Conference on Nanoelectronics and Embedded Systems for Electric Mobility ecocity emotion 24-25 th September 2014, Erlangen, Germany Low Power Consideration in Transceiver Design for Internet of

More information

Manufacturing Characterization for DFM

Manufacturing Characterization for DFM Manufacturing Characterization for DFM 2006 SW DFT Conference Austin, TX Greg Yeric, Ph. D. Synopsys Outline What is DFM? Today? Tomorrow? Fab Characterization for DFM Information Goals General Infrastructure

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

A Case Study - RF ASIC validation of a satellite transceiver

A Case Study - RF ASIC validation of a satellite transceiver A Case Study - RF ASIC validation of a satellite transceiver Maeve Colbert IC Design Engineer S3 Semiconductors WEBSITE: www.s3semi.com CONTACT: info@s3semi.com Contents Abstract...1 Planning for Validation...2

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration?

More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? More Moore: Does It Mean Mixed-Signal Integration or Dis-Integration? Ravi Subramanian, Ph.D. Berkeley Design Automation, Inc. 2013 Berkeley Design Automation, Inc. 1 Outline Introduction Structural Shift

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Chapter IX Using Calibration and Temperature Compensation to improve RF Power Detector Accuracy By Carlos Calvo and Anthony Mazzei

Chapter IX Using Calibration and Temperature Compensation to improve RF Power Detector Accuracy By Carlos Calvo and Anthony Mazzei Chapter IX Using Calibration and Temperature Compensation to improve RF Power Detector Accuracy By Carlos Calvo and Anthony Mazzei Introduction Accurate RF power management is a critical issue in modern

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours

Teaching Staff. EECS240 Spring Course Focus. Administrative. Course Goal. Lecture Notes. Elad s office hours EECS240 Spring 2012 Advanced Analog Integrated Circuits Lecture 1: Introduction Teaching Staff Elad s office hours 519 Cory Hall Tues. and Thurs. 11am-12pm (right after class) GSI: Pierluigi Nuzzo Weekly

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006

Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006 Introduction Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006 Test and design for testability are recognized today as critical to a successful design and manufacturing

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS

EECS240 Spring Advanced Analog Integrated Circuits Lecture 1: Introduction. Elad Alon Dept. of EECS EECS240 Spring 2009 Advanced Analog Integrated Circuits Lecture 1: Introduction Elad Alon Dept. of EECS Course Focus Focus is on analog design Typically: Specs circuit topology layout Will learn spec-driven

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models

Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models Verification of Digitally Calibrated Analog Systems with Verilog-AMS Behavioral Models BMAS Conference, San Jose, CA Robert O. Peruzzi, Ph. D. September, 2006 Agenda Introduction Human Error: Finding and

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

Fully Integrated Switched-Capacitor DC-DC Conversion

Fully Integrated Switched-Capacitor DC-DC Conversion Fully Integrated Switched-Capacitor DC-DC Conversion Elad Alon In collaboration with Hanh-Phuc Le, Seth Sanders Berkeley Wireless Research Center University of California, Berkeley Multi-Core Chips Are

More information

Analog and RF circuit techniques in nanometer CMOS

Analog and RF circuit techniques in nanometer CMOS Analog and RF circuit techniques in nanometer CMOS Bram Nauta University of Twente The Netherlands http://icd.ewi.utwente.nl b.nauta@utwente.nl UNIVERSITY OF TWENTE. Outline Introduction Balun-LNA-Mixer

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

Nonlinear Equalization Processor IC for Wideband Receivers and

Nonlinear Equalization Processor IC for Wideband Receivers and Nonlinear Equalization Processor IC for Wideband Receivers and Sensors William S. Song, Joshua I. Kramer, James R. Mann, Karen M. Gettings, Gil M. Raz, Joel I. Goodman, Benjamin A. Miller, Matthew Herman,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

MEDIUM SPEED ANALOG-DIGITAL CONVERTERS

MEDIUM SPEED ANALOG-DIGITAL CONVERTERS CMOS Analog IC Design Page 10.7-1 10.7 - MEDIUM SPEED ANALOG-DIGITAL CONVERTERS INTRODUCTION Successive Approximation Algorithm: 1.) Start with the MSB bit and work toward the LSB bit. 2.) Guess the MSB

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices By: Richard Harlan, Director of Technical Marketing, ParkerVision Upcoming generations of radio access standards are placing

More information

Challenge for Analog Circuit Testing in Mixed-Signal SoC

Challenge for Analog Circuit Testing in Mixed-Signal SoC Dec. 16, 2016 Challenge for Analog Circuit Testing in Mixed-Signal SoC Haruo Kobayashi Professor, Gunma University koba@gunma-u.ac.jp Contents 1. Introduction 2. Review of Analog Circuit Testing in Mixed-Signal

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si

On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si On-Wafer Integration of Nitrides and Si Devices: Bringing the Power of Polarization to Si The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Summary Last Lecture

Summary Last Lecture Interleaved ADCs EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations

More information

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00

Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Phase Locked Loops, Report Writing, Layout Tuesday, April 5th, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 5th

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Design of Pipeline Analog to Digital Converter

Design of Pipeline Analog to Digital Converter Design of Pipeline Analog to Digital Converter Vivek Tripathi, Chandrajit Debnath, Rakesh Malik STMicroelectronics The pipeline analog-to-digital converter (ADC) architecture is the most popular topology

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.4 26.4 40Gb/s CMOS Distributed Amplifier for Fiber-Optic Communication Systems H. Shigematsu 1, M. Sato 1, T. Hirose 1, F. Brewer 2, M. Rodwell 2 1 Fujitsu,

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information