EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

Size: px
Start display at page:

Download "EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations"

Transcription

1 EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella, Chang, Yang o Prabhu, Sheets o Desjardins, Tuan Thursday, May 4, 2-4pm o o o o o o Mavroidis, Nardi, Yeo Foong, Freed, Cain Cheng, Chen Poon, Chang McElwee, Rose Bocock, Otis 1

2 Project Reports Reports due Tuesday May 2, noon Presentations:» Title» Problem statement» Existing solution(s)» Essence of your work» Conditions and assumptions» Analysis details Analytical Simulation Implementation» Comparison (results)» Conclusion A picture is worth a thousand words! Design for Based on Rabaey EE241 notes 2

3 Classification Diagnostic test» used in chip/board debugging» defect localization go/no go or production test» Used in chip production Parametric test» x ε [v,i] versus x ε [0,1]» check parameters such as NM, V t, t p, T Chip Debugging Design errors or fabrication defects? Micro-probing the die (1-01.pF) E-beam Single-die repair (FIB) 3

4 ing is Expensive VLSI testers cost $1-5M Volume manufacturing requires large number of testers, maintenance er time costs are in /sec cost contributes 20-30% to total chip cost Types of ing Step Design Prototype Manufacture Shipping System Integration Service Error Source Design flaws Design flaws Prototype flaws Physical defects Man. test, transport Same Stress, Age Type Design ver. Functional test Manuf. test Functional test Diagnosis 4

5 Fault Models Most Popular - Stuck - at model 0 sa0 (output) 1 sa1 (input) Covers almost all (other) occurring faults, such as opens and shorts. x1 x2 α β γ Z x3 α, γ : x1 sa1 β : x1 sa0 or x2 sa0 γ : Z sa1 Problem with stuck-at model: CMOS open fault x1 x2 x1 Z x2 Sequential effect Needs two vectors to ensure detection! Other options: use stuck-open or stuck-short models This requires fault-simulation and analysis at the switch or transistor level - Very expensive! 5

6 Problem with stuck-at model: CMOS short fault 0 C D 0 A B Causes short circuit between Vdd and GND for A=C=0, B=1 0 1 A B C D Possible approach: Supply Current Measurement (IDDQ) but: not applicable for gigascale integration Design for ability N inputs Combinational Logic K outputs N inputs Combinational Logic K outputs Module Module M state regs (a) Combinational function (b) Sequential engine 2 N patterns 2 N+M patterns Exhaustive test is impossible or unpractical 6

7 Problem: Controllability/Observability Combinational Circuits: controllable and observable - relatively easy to determine test patterns Sequential Circuits: State! Turn into combinational circuits or use self-test Memory: requires complex patterns Use self-test Approaches Ad-hoc testing Scan-based Self- Problem is getting harder» increasing complexity and heterogeneous combination of modules in system-on-a-chip.» Advanced packaging and assembly techniques extend problem to the board level 7

8 Generating and Validating -Vectors Automatic test-pattern generation (ATPG)» for given fault, determine excitation vector (called test vector) that will propagate error to primary (observable) output» majority of available tools: combinational networks only» sequential ATPG available from academic research Fault simulation» determines test coverage of proposed test-vector set» simulates correct network in parallel with faulty networks Both require adequate models of faults in CMOS integrated circuits Scan-based ScanIn ScanOut In Register Combinational Logic A Register Combinational Logic B Out 8

9 Polarity-Hold SRL (Shift-Register Latch) System Data System Clock Scan Data Shift A Clock D C SI A L1 Q Q SO Shift B Clock B L2 SO Introduced at IBM and set as company policy (LSSD) Scan-Path Register OUT SCAN PHI2 PHI1 SCANIN SCANOUT IN LOAD KEEP 9

10 Scan-based Operation In 0 In 1 In 2 In 3 ScanIn ScanOut Latch Latch Latch Latch Out 0 Out 1 Out 2 Out 3 φ 1 φ 2 N cycles scan-in 1 cycle evaluation N cycles scan-out Scan-Path ing A REG[1] B REG[0] SCANIN REG[2] REG[3] + REG[4] COMPIN COMP REG[5] SCANOUT OUT Partial-Scan can be more effective for pipelined datapaths 10

11 Boundary Scan (JTAG) Printed-circuit board Logic Packaged IC Scan-in Scan-out si so scan path normal interconnect Bonding Pad Board testing becomes as problematic as chip testing Self-test Stimulus Generator (Sub)-Circuit Under Response Analyzer Controller Rapidly becoming more important with increasing chip-complexity and larger modules 11

12 Linear-Feedback Shift Register (LFSR) R R R S 0 S 1 S Pseudo-Random Pattern Generator Signature Analysis In Counter R Counts transitions on single-bit stream Compression in time 12

13 BILBO B0 D0 D1 D2 B1 ScanIn mux R R R S0 S1 ScanOut S2 B 0 B 1 Operation mode 1 1 Normal 0 0 Scan 1 0 Pattern generation or Signature analysis 0 1 Reset BILBO Application ScanIn ScanOut In BILBO-A Combinational Logic BILBO-B Combinational Logic Out 13

14 Memory Self- data-in FSM Memory Under data-out Signature Analysis address & R/W control Patterns: Writing/Reading 0s, 1s, Walking 0s, 1s Galloping 0s, 1s 14

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs University of Connecticut DigitalCommons@UConn Doctoral Dissertations University of Connecticut Graduate School 4-8-2013 Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs Wei Zhao

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Design for Reliability --

Design for Reliability -- Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara Increasing Failure Sources and Failure Rates design errors

More information

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science Yield, Reliability and Testing The Progressive Trend of IC Technology Integration level Year Number of transistors DRAM integration SSI 1950s less than 10 2 MSI 1960s 10 2-10 3 LSI 1970s 10 3-10 5 4K,

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC VDEC D2T Symposium Dec. 11 2009 Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC Haruo Kobayashi Gunma University k_haruo@el.gunma-u.ac.jp 1 Contents 1. Introduction 2. Review of Analog

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding The 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS 2012) BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding and el_sadredini@comp.iust.ac.ir,

More information

Built-In Self-Test: Milestones and Challenges

Built-In Self-Test: Milestones and Challenges VLSI Design 1993, Vol. 1, No. 1, pp. 23-44 Reprints available directly from the publisher Photocopying permitted by license only (C) 1993 Gordon and Breach Science Publishers S.A. Printed in the United

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing

Analog Circuit Test. Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Analog Circuit Test Analog circuits Analog circuit test methods Specification-based testing Direct measurement DSP-based testing Fault model based testing IEEE 1149.4 analog test bus standard Summary References

More information

Lecture 12. Silicon Test and Validation

Lecture 12. Silicon Test and Validation Lecture 12 Silicon Test and Validation Intel Corporation jstinson@stanford.edu 1 Reading: Introduction: With design complexity and raw transistor counts growing at a 2X rate per generation, issues surrounding

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Practical Fault Coverage of Supply Current Tests for Bipolar ICs

Practical Fault Coverage of Supply Current Tests for Bipolar ICs Practical Coverage Supply Current Tests for Bipolar ICs Isao Tsukimoto, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Dept. Electronic Engineering, Takuma National College Technology tukimoto@de.takuma-ct.ac.jp

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs

A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs Jing Li, Swaroop Ghosh and Kaushik Roy Electrical and Computer Engineering, Purdue University, West Lafayette, IN4796 Abstract

More information

Implementation of residue code as a design for testability strategy using GENESIL Silicon Compiler

Implementation of residue code as a design for testability strategy using GENESIL Silicon Compiler Calhoun: The NPS Institutional Archive Theses and Dissertations Thesis Collection 1990-12 Implementation of residue code as a design for testability strategy using GENESIL Silicon Compiler Lawson, John

More information

Lecture 12. Silicon Test and Validation. Intel Corporation

Lecture 12. Silicon Test and Validation. Intel Corporation Lecture 12 Silicon Test and Validation Intel Corporation jstinson@stanford.edu 1 Reading: Introduction: With design complexity and raw transistor counts growing at a 2X rate per generation, issues surrounding

More information

Characterization of CMOS Defects using Transient Signal Analysis

Characterization of CMOS Defects using Transient Signal Analysis Characterization of CMOS Defects using Transient Signal Analysis Abstract James F. Plusquellic 1, Donald M. Chiarulli 2 and Steven P. Levitan 1 Department of CSEE, University of Maryland, Baltimore County

More information

EE141-Fall 2009 Digital Integrated Circuits

EE141-Fall 2009 Digital Integrated Circuits EE141-Fall 2009 Digital Integrated Circuits Lecture 2 Integrated Circuit Basics: Manufacturing and Cost 1 1 Administrative Stuff Discussions start this Friday We have a third GSI Richie Przybyla, rjp@eecs

More information

Iddq Testing for CMOS VLSI

Iddq Testing for CMOS VLSI Iddq Testing for CMOS VLSI Rochit Rajsuman, SENIOR MEMBER, IEEE It is little more than 15-years since the idea of Iddq testing was first proposed. Many semiconductor companies now consider Iddq testing

More information

VLSI Implementation of Real-Time Parallel

VLSI Implementation of Real-Time Parallel VLSI Implementation of Real-Time Parallel DCT/DST Lattice Structures for Video Communications* C.T. Chiu', R. K. Kolagotla', K.J.R. Liu, an.d J. F. JfiJB. Electrical Engineering Department Institute of

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Reducing ATE Test Time by Voltage and Frequency Scaling. Praveen Venkataramani

Reducing ATE Test Time by Voltage and Frequency Scaling. Praveen Venkataramani Reducing ATE Test Time by Voltage and Frequency Scaling by Praveen Venkataramani A dissertation submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1 Semiconductor Device & Analysis Center Berlin University of Technology Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices Christian.Boit@TU-Berlin.DE 1 Semiconductor Device

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Design of BIST using Self-Checking Circuits for Multipliers

Design of BIST using Self-Checking Circuits for Multipliers Indian Journal of Science and Technology, Vol 8(19), DOI: 10.17485/ijst/2015/v8i19/77006, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of BIST using Self-Checking Circuits for

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

S-8604BWI LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR

S-8604BWI LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR Rev.1.10 LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR The is a linear image sensor suitable for a multichip contact image sensor with resolution of 8 dots per mm. The obtained image signals by light

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Academic Course Description

Academic Course Description BEC702 Digital CMOS VLSI Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC702 Digital CMOS VLSI Seventh Semester

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

Lecture 0: Introduction

Lecture 0: Introduction Introduction to CMOS VLSI Design Lecture : Introduction David Harris Steven Levitan Harvey Mudd College University of Pittsburgh Spring 24 Fall 28 Administrivia Professor Steven Levitan TA: Bo Zhao Syllabus

More information

Academic Course Description. BEC702 Digital CMOS VLSI

Academic Course Description. BEC702 Digital CMOS VLSI BEC702 Digital CMOS VLSI Academic Course Description Course (catalog) description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering CMOS is

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing

NBTI and Process Variation Circuit Design Using Adaptive Body Biasing IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 91-98 e-issn: 2319 4200, p-issn No. : 2319 4197 NBTI and Process Variation Circuit Design Using Adaptive

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

to Moore and McCluskey the following formula calculates this number:

to Moore and McCluskey the following formula calculates this number: An Introduction To Jtag/Boundary Scan Jtag/Boundary Scan is a test technology. It is the jump from physical access to a board s conductor tracks (necessary for the In-Circuit Test) with all its physical

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

S-8604BWI LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR. Rev.1.1_10

S-8604BWI LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR. Rev.1.1_10 Rev.1.1_10 LINEAR IMAGE SENSOR IC FOR CONTACT IMAGE SENSOR The is a linear image sensor suitable for a multichip contact image sensor with resolution of 8 dots per mm. The obtained image signals by light

More information

Online Monitoring for Automotive Sub-systems Using

Online Monitoring for Automotive Sub-systems Using Online Monitoring for Automotive Sub-systems Using 1149.4 C. Jeffrey, A. Lechner & A. Richardson Centre for Microsystems Engineering, Lancaster University, Lancaster, LA1 4YR, UK 1 Abstract This paper

More information

Research on the Effective Detection Methods of Large Scale IC Fault Signals. Junhong LI

Research on the Effective Detection Methods of Large Scale IC Fault Signals. Junhong LI International Conference on Computational Science and Engineering (ICCSE 2015) Research on the Effective Detection Methods of Large Scale IC Fault Signals Junhong LI Engineering Technology and Information

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

ECE 241 Digital Systems. Basic Information

ECE 241 Digital Systems. Basic Information ECE 241 Digital Systems Fall 2013 J. Anderson, P. Chow, K. Truong, B. Wang Basic Information Instructors and Lecture Information Section 1 2 3 4 Instructor Jason Anderson Kevin Truong Paul Chow Belinda

More information

Mux-Based Latches. Lecture 8. Sequential Circuits 1. Mux-Based Latch. Mux-Based Latch. Negative latch (transparent when CLK= 0)

Mux-Based Latches. Lecture 8. Sequential Circuits 1. Mux-Based Latch. Mux-Based Latch. Negative latch (transparent when CLK= 0) Mux-Based Latches Lecture 8 equential Circuits Negative latch (transparent when = 0) Positive latch (transparent when = ) Peter Cheung epartment of Electrical & Electronic Engineering Imperial College

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Implementation of an experimental IEEE mixed signal test chip

Implementation of an experimental IEEE mixed signal test chip Implementation of an experimental IEEE 1149.4 mixed signal test chip Uroš Kač 1, Franc Novak 1, Florence Azaïs 2, Pascal Nouet 2, Michel Renovell 2 1 Jozef Stefan Institute, Ljubljana, Slovenia 2 LIRMM,

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

EE241 - Spring 2002 Advanced Digital Integrated Circuits

EE241 - Spring 2002 Advanced Digital Integrated Circuits EE241 - Spring 2002 dvanced Digital Integrated Circuits Lecture 7 MOS Logic Styles nnouncements Homework #1 due 2/19 1 Reading Chapter 7 in the text by K. ernstein ackground material from Rabaey References»

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Walden C. Rhines CHAIRMAN & CEO Nanometer Technologies: Where Design and Manufacturing Converge Nanometer technologies make designers aware

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. 3.5. A 1.3 GSample/s 10-tap Full-rate Variable-latency Self-timed FIR filter

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Acknowledgement: Materials in this lecture are courtesy of the following sources and are used with permission. Curt Schurgers J. Rabaey, A. Chandrakasan,

More information

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3 Assistant Professor, Department of ECE, Siddharth Institute of Engineering & Technology,

More information

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng enter for Reliable omputing Experimental Results for Slow Speed Testing hao-wen Tseng enter for Reliable omputing, Stanford University http://crc.stanford.edu Outline Problem Definition Introduction Test

More information